Documentos de Académico
Documentos de Profesional
Documentos de Cultura
Tarea 2012
Tarea 2012
SISTEMAS DIGITALES II
DEBER PARA SEGUNDA EVALUACIN
I TRMINO 2012-2013
PROBLEMA # 1
Disee un pequeo Sistema Digital Detector de los dos nmeros mayores de un grupo de
hasta 32 datos.
Inicialmente el sistema espera a que se active la seal Start. Luego pasa a un estado de
recepcin de nmeros, en este estado se espera a que se reciba la seal Load, en ese
momento el nmero binario de 5 bits presente en la entrada Data debe almacenarse
internamente para luego hacer las comparaciones necesarias. Luego de procesar el dato, el
sistema no continuar hasta que la seal Load se desactive.
Ahora el sistema debe esperar a que se active la seal Fin, que se haya cumplido el mximo
de 32 datos procesados o se pida cargar un nuevo dato. Si se activa Fin o si ya hay 32 datos
procesados el sistema va al estado de finalizacin, si no se cumple ninguna de las dos
condiciones anteriores el sistema pregunta por una nueva activacin de la entrada Load, si
esto ocurre el sistema debe repetir el proceso de recepcin y procesamiento de un nuevo dato.
En el estado de finalizacin, el sistema debe mostrar en la salida Pmay de 5 bits, el promedio
de los dos nmeros mayores (los dos ms grandes) del total de los datos procesados y
adems debe activar la salida Done. Estas salidas se siguen mostrando mientras la seal
Start permanezca activa, luego de esto el sistema regresa al estado inicial.
Nota: inicialmente los dos nmeros mayores se consideran iguales a cero.
Presentar:
1. Particin Funcional del Sistema Digital.
2. Diagrama ASM del circuito Controlador del Sistema Digital, indicando claramente
todas las salidas que deben ser generadas.
Pgina 1 de 19
PROBLEMA # 2
Presentar:
3. Particin Funcional del Sistema Digital.
4. Diagrama ASM del circuito Controlador del Sistema Digital, indicando claramente
todas las salidas que deben ser generadas.
Pgina 2 de 19
PROBLEMA # 3
Presente:
Descripcin del Sistema en un solo programa en VHDL usando las declaraciones
process case when para describir las Transiciones de Estados y las Salidas del
Controlador, y la architecture mixta para la Particin Funcional.
Asuma que Resetn del Controlador es asincrnico.
Asuma que dispone de archivos .vhd en la misma carpeta de Trabajo para
conv_dec_bcd, contador_down, contador_up y dec_dr_new que forman parte del
Pgina 3 de 19
Inicio
Tb
Ld1
Th
Puerta
1
0
Final
tecla
0
1
B1
En1
0
0
B2
Tc
Ld1
En1
tecla
Td
Ld2
uno
EnCb
1
En3
1
dos
tres
EnF1
EnF2
EnF3
Ti
0
B3
En2
En1
Tj
Tk
tecla
1
B1
En2
B2
B3
Te
Ld2
Tl
En2
1
tecla
Tf
Ld3
Seguir
EnCb
1
0
Cig3
tecla
1
1
En3
Tg
Ld2
En3
tecla
Pgina 4 de 19
3. Diagrama de Tiempo
Pgina 5 de 19
PROBLEMA # 4
Presente:
Descripcin del Sistema en un solo programa en VHDL usando las declaraciones
process case when para describir las Transiciones de Estados y las Salidas del
Controlador, y la architecture mixta para la Particin Funcional.
Asuma que Resetn del Controlador es asincrnico.
Asuma que dispone de archivos .vhd en la misma carpeta de Trabajo para
registro_sost, registro_sost10, conv_dec_bcd, contador_down, conv_2bcd_bin y
conv_bin_3bcd_en que forman parte del Sistema Digital. El circuito Controlador,
comparador, mux2a1, decoders4a16, sumadores y las puertas lgicas deben ser
descritos dentro de architecture.
As mismo suponga que el orden de las entradas y salidas en las declaraciones port de
los subcircuitos es similar al del Diagrama Esquemtico presentado.
Pgina 6 de 19
2. Diagrama ASM
Pgina 7 de 19
Resetn
Ta
EnC, LdC
EnS
0
Start
Tb
tecla
1
EnDe
Tc
EnDe
1
tecla
Td
tecla
1
EnU
Te
EnU
1
tecla
Tf
sel
0
Start
Th
mostrar, Fin
div
1
EnC, EnS
Tg
1
0
diez
0
Terminar
Pgina 8 de 19
3. Diagramas de Tiempo
Pgina 9 de 19
PROBLEMA # 5
Presente:
1. Diagrama ASM del circuito Controlador debidamente documentada. ( indicar todos las
entradas y salidas)
2. Particin Funcional
Pgina 10 de 19
PROBLEMA # 6
Presente:
3. Diagrama ASM del circuito Controlador debidamente documentada. ( indicar todos las
entradas y salidas)
4. Particin Funcional
Pgina 11 de 19
PROBLEMA # 7
: in std_logic;
: in std_logic_vector(3 downto 0);
: out std_logic);
Pgina 12 de 19
Pgina 13 de 19
Pgina 14 de 19
PROBLEMA # 8
Pgina 15 de 19
Pgina 16 de 19
Pgina 17 de 19
PROBLEMA # 9
Disee en modo Fundamental una MSA que funciona cono un nuevo Flip-flop especial M
que tiene dos entrada M y CLK y una salida Q.
El Flip-flop M trabaja con flancos de subida de CLK de la siguiente manera:
Si M es igual a 0, la salida Q tiene valor 1.
Si M es igual a 1, la salida Q invierte su valor presente.
Presente:
1. Diagrama de Estados Primitivo (Formato: CLK M /Q). Tabla de Estados
Primitivo.Tabla de Implicantes. Diagrama de Equivalencia mxima.
2. Diagrama de Estados Reducida. Mapa de asignacin de Cdigo de Estados.
3. Mapa de Excitacin. Mapas y las expresiones para Y1 y Y0 y para la salida Q.
Indica si su circuito corre riesgo de tener los Hazard Estticos o no. Como se
puede evitar?
4. Diagramas de tiempo para las salidas asumiendo valores de las entradas y dados.
Indica claramente los periodos de tiempo correspondiente a cada estado de su
Diagrama de Estados Reducido.
Pgina 18 de 19
PROBLEMA # 10
Disee una MSA (Maquina Secuencial Asincrnica), en modo fundamental, que puede activar
una compuerta electrnica.
La MSA tiene dos entradas X1 y X2 y una salida Cmp. Inicialmente las entradas X1, X2 son iguales a
0 y la compuerta esta desactivada (Cmp = 0).
Para activar la compuerta solo se requiere presionar X1 (con X2 desactivada). Si se presiona primero
X2 o si se presionan ambas (primero X2 y luego X1), la compuerta sigue desactivada.
Una vez que la compuerta esta activada, para desactivarla primero se debe soltar X1 y luego se debe
presionar nicamente X2, solo en ese momento se desactiva la compuerta.
Si luego de haber soltado X1 se vuelve a presionar X1 o si se presionan ambas (primero X1 y luego
X2), la compuerta permanece activada.
X1
MSA
Cmp
X2
Presentar:
1. Diagrama de Estados Primitivo (Formato: X1 X2 / Cmp). Tabla de Estados Primitivo.Tabla
de Implicantes. Diagrama de Equivalencia mxima.
2. Diagrama de Estados Reducida. Mapa de asignacin de Cdigo de Estados.
3. Mapa de Excitacin. Mapas y las expresiones para Y1 y Y0 y para la salida Cmp.
4. Diagramas de tiempo para la salida Cmp asumiendo valores de las entradas X1 y X2 dados.
Indique claramente los periodos de tiempo correspondiente a cada estado de su Diagrama
de Estados Reducido.
5. Indica si su circuito corre riesgo de tener los Hazard Estticos o no. Como se puede
evitar?
Pgina 19 de 19