Está en la página 1de 20

Proyecto

Diseo con Electrnica Integrada


4/Dic/2006 Generador de
Funciones

Cisneros Jimnez Ignacio, Cdigo; 399868279, icisneros@hotmail.com


Proyecto de Diseo con Electrnica Integrada.

Pgina 1

INDICE:
1.0 Resumen...3
2.0 Antecedentes....3
2.1 Generador de funciones..4
2.1.1 Controles conectores indicadores(parte frontal).4,5
2.1.2 Controles conectores indicadores(parte trasera)...5
3.0 Desarrollo..6
3.1 Seales .7
3.1.1 Oscilador sinusoidal......8,9
3.1.2 Etapa seal cuadrada10,11
3.1.3 Etapa seal triangular...12,13
3.2 Etapa de salida..14,15
3.3 Anexo 1...16
3.4 Anexo 2......17
3.5 Anexo 3......18
3.6 Circuito......19
4.0 Resultados.....20
5.0 Bibliografa.......20

Cisneros Jimnez Ignacio, Cdigo; 399868279, icisneros@hotmail.com


Proyecto de Diseo con Electrnica Integrada.

Pgina 2

1.0 Resumen
El presente proyecto tiene como objetivo aplicar a un caso prctico los conceptos referentes a
estabilidad y ancho de banda de sistemas realimentados. A tal efecto se pretende disear un
generador de funciones.
El mencionado generador debe cumplir las siguientes especificaciones de diseo:
Formas de onda senoidal, cuadrada y triangular.
Frecuencia de salida variable entre 100Hz y 100kHz.
Amplitud de salida variable entre 0.5 y 20Vpp.
Nivel de continua a la salida ajustable entre +5 y -5 V.
Impedancia de salida de 600 .
En cualquier caso se tendr en cuenta que a la salida del generador nunca se solicitar una
tensin total (DC + AC) que supere el margen 10V.

2.0 Antecedentes
Un generador de funciones es un instrumento verstil que genera diferentes formas de onda
cuyas frecuencias son ajustables en un amplio rango. La salidas ms frecuentes son ondas
senoidales, triangulares, cuadradas y diente de sierra. Las frecuencias de estas ondas pueden
ser ajustadas desde una fraccin de hertz hasta varios cientos de kilohertz.
La diferentes salidas del generador se pueden obtener al mismo tiempo. Por ejemplo,
proporcionando una sola cuadrada para medir la linealidad de un sistema de audio, la salida
en diente de sierra simultnea se puede usar para alimentar el amplificador de deflexin
horizontal de un osciloscopio, con lo que se obtiene la a exhibicin visual de los resultados
de las mediciones. La capacidad de un generador de funciones de fijar la fase de una fuente
externa de seas es otra de las caractersticas importantes y tiles.
El generador de funciones tambin puede proporcionar ondas a muy bajas frecuencias. Ya que
la frecuencia baja de un oscilador RC es limitada, la figura ilustrada otra tcnica. Este
generador entrega ondas senoidales triangulares y cuadradas con un rango de frecuencias de
0.01 Hz hasta 100 kHz. La red de control de frecuencia est dirigida por el selector fino de
frecuencia en el panel frontal del instrumento o por un voltaje de control aplicado
externamente.
Los circuitos de salida del generador de funciones consiste de dos amplificadores que
proporcionen dos salidas simultneas seleccionadas individualmente de cualquiera de las
formas de onda.

Cisneros Jimnez Ignacio, Cdigo; 399868279, icisneros@hotmail.com


Proyecto de Diseo con Electrnica Integrada.

Pgina 3

2.1 Generador de funciones

Tektronix CFG250
Este generador de funciones, especficamente trabaja en un rango de frecuencias de entre 0.2
Hz a 2 MHz. Tambin cuenta con una funcin de barrido la cual puede ser controlada tanto
internamente como externamente con un nivel de DC. El ciclo de mquina, nivel de offset en
DC, rango de barrido y la amplitud y ancho del barrido pueden ser controlados por el usuario.

2.1.1 Controles, Conectores e Indicadores (Parte Frontal)

1.
Botn de Encendido (Power button). Presione este botn para encender el generador
de funciones. Si se presiona este botn de nuevo, el generador se apaga.
2.
Luz de Encendido (Power on light). Si la luz est encendida significa que el generador
esta encendido.
3.
Botones de Funcin (Function buttons). Los botones de onda senoidal, cuadrada o
triangular determinan el tipo de seal provisto por el conector en la salida principal.
4.
Botones de Rango (Range buttons) (Hz). Esta variable de control determina la
frecuencia de la seal del conector en la salida principal.
Cisneros Jimnez Ignacio, Cdigo; 399868279, icisneros@hotmail.com
Proyecto de Diseo con Electrnica Integrada.

Pgina 4

5.
Control de Frecuencia (Frecuency Control). Esta variable de control determina la
frecuencia de la seal del conector en la salida principal tomando en cuenta tambin el rango
establecido en los botones de rango.
6.
Control de Amplitud (Amplitude Control). Esta variable de control, dependiendo de la
posicin del botn de voltaje de salida (VOLTS OUT), determina el nivel de la seal del
conector en la salida principal.
7.
Botn de rango de Voltaje de salida (Volts Out range button). Presiona este botn para
controlar el rango de amplitud de 0 a 2 Vp-p en circuito abierto o de 0 a 1 Vp-p con una carga
de 50W . Vuelve a presionar el botn para controlar el rango de amplitud de 0 a 20 Vp-p en
circuito abierto o de 0 a 10 Vp-p con una carga de 50W .
8.
Botn de inversin (Invert button). Si se presiona este botn, la seal del conector en
la salida principal se invierte. Cuando el control de ciclo de mquina esta en uso, el botn de
inversin determina que mitad de la forma de onda a la salida va a ser afectada. La siguiente
tabla, muestra esta relacin.

9 Control de ciclo de mquina (Duty control). Jala este control para activar esta opcin.
10 Offset en DC (DC Offset). Jala este control para activar esta opcin. Este control
establece el nivel de DC y su polaridad de la seal del conector en la salida principal. Cuando
el control esta presionado, la seal se centra a 0 volts en DC.
11 Botn de Barrido (SWEEP button). Presiona el botn para hacer un barrido interno. Este
botn activa los controles de rango de barrido y de ancho del barrido. Si se vuelve a presionar
este botn, el generador de funciones puede aceptar seales desde el conector de barrido
externo (EXTERNAL SWEEP) localizado en la parte trasera del generador de funciones.
12 Rango de Barrido (Sweep Rate). Este control ajusta el rango del generador del barrido
interno y el rango de repeticin de la compuerta de paso.
Cisneros Jimnez Ignacio, Cdigo; 399868279, icisneros@hotmail.com
Proyecto de Diseo con Electrnica Integrada.

Pgina 5

13 Ancho del Barrido (Sweep Width). Este control ajusta la amplitud del barrido.
14 Conector de la salida principal (MAIN output connector). Se utiliza un conector BNC
para obtener seales de onda senoidal, cuadrada o triangular.
15 Conector de la salida TTL (SYNC (TTL) output connector). Se utiliza un conector BNC
para obtener seales de tipo TTL.
2.1.2 Controles, Conectores e Indicadores (Parte Trasera)

1R. Fusible (Line Fuse). Provee de proteccin por sobrecargas o mal funcionamiento de
equipo.
2R. Entrada de alimentacin (Power Input). Conector de entrada para el cable de
alimentacin.
3R. Conector de entrada para barrido externo. (External Sweep input connector). Se utiliza un
conector de entrada tipo BNC para controlar el voltaje del barrido. Las seales aplicadas a
este conector controlan la frecuencia de salida cuando el botn de barrido no est presionado.
El rango total de barrido es tambin dependiente de la frecuencia base y la direccin deseada
del barrido.
4R. Selector de voltaje (Line Voltaje Selector). Estos selectores conectan la circuitera interna
para distintas entradas de alimentacin.

Cisneros Jimnez Ignacio, Cdigo; 399868279, icisneros@hotmail.com


Proyecto de Diseo con Electrnica Integrada.

Pgina 6

3.0 Desarrollo
En este diagrama a bloques se presenta el generador de funciones.

Seal Triangular

CONTROL DE
NIVEL DC

Seal Cuadrada

Etapa de
Salida

Seal Senoidal

CONTROL DE
AMPLITUD

Cisneros Jimnez Ignacio, Cdigo; 399868279, icisneros@hotmail.com


Proyecto de Diseo con Electrnica Integrada.

Pgina 7

3.1 Seales
3.1.1 Oscilador sinusoidal
El primer tipo de onda es senoidal y para ello implementamos un oscilador en puente de Wien
(ver figura 2). El citado circuito consta de un A.O. realimentado tanto positiva como
negativamente. El conjunto del A.O. con la red de realimentacin negativa configura un
amplificador no inversor, y har las veces de red A de oscilador. Por otra parte, la red de
realimentacin positiva es la red , y es lo que da al circuito el carcter de oscilador.

Figura 1

Las condiciones de oscilacin son A = 1 y ( A ) = 0 cuando =o donde o es la


frecuencia de oscilacin de la seal de salida. La funcin de transferencia de A es
A( ) = 1 + R2 R , donde R2 es el paralelo de 10k y de 4.7k; y R1 es la resistencia en serie
1
que conforman la resistencia de 2.2k y el potencimetro de 10k.

Cisneros Jimnez Ignacio, Cdigo; 399868279, icisneros@hotmail.com


Proyecto de Diseo con Electrnica Integrada.

Pgina 8

Los diodos establecen la condicin de arranque: en principio ninguno de los dos diodos
conduce, por lo que la ganancia de lazo supera la unidad (R2=10k). En consecuencia la
amplitud de las oscilaciones de salida aumentan hasta que uno de los diodos entran en
conduccin (cada diodo conduce en un semiciclo). Una vez que entran en conduccin, la
ganancia se reduce al ser ahora R2=10k4.7k. Una vez que la amplitud de salida est
fijada, los propios diodos consiguen estabilizarla frente a cualquier variacin de la seal de
salida: si la salida aumenta, aumenta tanto la tensin como la corriente que atraviesa los
diodos, y por lo tanto la Rf de los diodos disminuye, con lo que R2 (y con ella la ganancia A)
reduce su valor.
Como la red A no desfasa la seal en =o, la red tampoco deber desfasar en la frecuencia
de oscilacin si pretendemos que ( A ) = 0 . Podemos "abrir" el circuito para distinguir
las etapas del mismo y de este modo obtener la funcin de transferencia de la red (ver
figura 3). En el circuito se ha supuesto que tanto los condensadores como las resistencias de la

red de realimentacin son iguales.


Figura 2
La funcin de transferencia de la red es:
V0
R C s
=
V '0 1 + 3 R C s + R 2 C 2 s 2
Para =o, debe ser enteramente real, de donde se deduce que:
1
1 f
o =
o =
2 R C
R C

(s) =

Cisneros Jimnez Ignacio, Cdigo; 399868279, icisneros@hotmail.com


Proyecto de Diseo con Electrnica Integrada.

Pgina 9

El rango de frecuencias que nuestro generador de seal se controla a travs de la variacin de


R. En nuestro caso lo hemos hecho empleando un potencimetro tndem de 50k con el que
se vara simultneamente el valor de las dos resistencias. En principio nos bastara con un solo
condensador para cubrir todo el intervalo de frecuencias, sin embargo se van a emplear 3
condensadores para nuestro propsito. Una discusin ms detallada de este aspecto se
encuentra en el ANEXO I del proyecto.
Los condensadores empleados para cada intervalo de frecuencias son:
100nF para frecuencias comprendidas entre 100Hz y 1kHz.
10nF para frecuencias comprendidas entre 1kHz y 10kHz.
1nF para frecuencias comprendidas entre 10kHz y 100kHz.

Y en cada rango de frecuencias es el potencimetro el que regula de modo continuo la


frecuencia de la seal de salida.
El A.O. empleado es un TL081 por tener un ancho de banda bueno y estar compensado
internamente, siendo estable a todas las ganancias. A estas caractersticas hay que aadir su
elevada impedancia de entrada frente a los otros A.O.
3.1.2 Etapa de Seal Cuadrada.
Una vez generada la seal sinusoidal de frecuencia variable, obtendremos la seal cuadrada
simplemente por la saturacin que sta produzca en el operacional.
El montaje es el que se puede apreciar en la figura 4, en donde, como vemos hay un
operacional sin realimentar, lo cual hace que la ganancia de tensin sea idealmente infinita, y
por tanto, al imponer a la entrada una diferencia de tensin entre los terminales + y - del
operacional, por muy pequea que sea, ste se saturar, haciendo que la tensin de salida sea
la de alimentacin del operacional.

Figura 3

Cisneros Jimnez Ignacio, Cdigo; 399868279, icisneros@hotmail.com


Proyecto de Diseo con Electrnica Integrada.

Pgina 10

Por tanto, cuando la seal senoidal de la entrada est en su semiciclo positivo tendremos a la
salida una tensin de +15V, y cuando est en el semiciclo negativo, la tensin de salida ser
de -15V, obtenindose, pues, una seal cuadrada de 30Vpp. Hay que hacer notar a este
respecto que, si la seal entrase por la pata inversora del operacional, ocurrira que para el
semiciclo positivo de la seal de entrada, la seal de salida sera negativa.
En la figura se puede ver tambin un potencimetro conectado entre +15V y
-15V, cuya
salida se introduce a la pata inversora del operacional. La finalidad de este potencimetro es
ajustar el "Duty Cycle" de la seal cuadrada, ya que, dependiendo del nivel de continua de la
seal senoidal, el operacional estara un tiempo distinto saturado a +15V que a -15V. El
potencimetro se ajusta hasta obtener un nivel de continua en la entrada inversora que sea
igual al de la no inversora, obtenindose de este modo una seal de salida perfectamente
simtrica respecto al valor de tensin 0, y con tiempos iguales a nivel alto y bajo. En el
ANEXO II se explica en detalle esta tcnica de equilibrado.
En cuanto al operacional empleado, hay que comentar que es un LF357, ya que el "Slew
Rate" es el ms elevado de todos los empleados en las prcticas (50V/s), y es importante que
sea elevado para que la subida sea lo ms vertical posible, y la salida se aproxime mucho a
una seal cuadrada.
3.1.3 Etapa de Seal Triangular.
Para la generacin de la seal triangular tendremos en cuenta que si integramos una constante,
se obtiene una lnea recta con una pendiente que es la constante, multiplicada por el factor de
proporcionalidad de que est afectada la integracin.
Pues bien, sabiendo esto, es muy fcil deducir que, si integramos una seal cuadrada
podremos obtener una triangular, y esto es precisamente lo que haremos; integraremos la
seal cuadrada mediante un condensador.
Para la integracin de la seal cuadrada se pueden elegir los dos montajes que se representan
en las dos figuras siguientes (5 y 6). En el primero, se emplean una resistencia y un
condensador de modo que, al entrar una tensin cuadrada, circula una corriente con la misma
forma, cuya integral ser la tensin en bornes del condensador.

Si analizamos la funcin de transferencia de este


circuito comprobamos que no se comporta como un
integrador ideal, pues lo ser slo a partir de una
determinada frecuencia:
Vo
1
=
Ve R C s + 1
Figura 4

Cisneros Jimnez Ignacio, Cdigo; 399868279, icisneros@hotmail.com


Proyecto de Diseo con Electrnica Integrada.

Pgina 11

La frecuencia a la cual se empieza a comportar como un integrador ser :


f =

1
2 R C

En realidad no es esta la frecuencia a la cual se comporta idealmente, pues ser una dcada
despus donde las seales de entrada y salida tengan un desfase de 90. Recordemos que un
integrador ideal tiene un Bode de ganancia con pendiente -20dB por dcada en todas las
frecuencias, y un desfase de -90 tambin en todas las frecuencias.
Por tanto habra que elegir cuidadosamente el valor del condensador y la resistencia para estar
siempre en la zona en que se comporta idealmente, teniendo en cuenta el rango de frecuencias
en que va a estar situada la seal cuadrada.
En el proyecto se ha optado por el segundo esquema (figura 6), en el cual se emplea un
operacional TL081 realimentado negativamente con un condensador (el LF357 es inestable
para ganancias menores de 5).

Figura 5

Este circuito tiene como funcin de transferencia:


Vo
1
=
Ve R1 C s
Cuyo polo, como podemos observar, est en el origen. Por tanto se comporta como un
integrador ideal.

Cisneros Jimnez Ignacio, Cdigo; 399868279, icisneros@hotmail.com


Proyecto de Diseo con Electrnica Integrada.

Pgina 12

El potencimetro que aparece en la figura sirve para determinar la corriente que carga el
condensador, pues esta intensidad vendr dada por la resistencia y la diferencia de tensin
impuesta por la seal cuadrada y la tierra virtual en el otro extremo, y tal como se puede
observar en la funcin de transferencia, determina tambin la pendiente de la seal triangular,
1
pues
es la constante de proporcionalidad de la integracin.
R1 C
Habr que ajustarlo para evitar que se recorte la seal triangular, pues si la pendiente de
subida es muy alta se puede alcanzar el valor de la tensin mxima antes de que llegue el
flanco de bajada de la seal cuadrada, lo cual se traduce en un recorte de la seal triangular.
Se ha puesto, adems, otra resistencia en paralelo con el condensador (R2), para limitar la
ganancia de continua del operacional realimentado, pues si la seal cuadrada de entrada
tuviese una pequea componente de continua, esta se vera amplificada casi infinitamente,
provocando la saturacin del operacional. La funcin de transferencia ser, en consecuencia:
Vo
R2
=
Ve R1 + R1 R2 C s
Esta funcin de transferencia ya no tiene el polo en el origen, por lo que habr que elegir
cuidadosamente los valores del condensador y las resistencias para que se comporte como un
integrador ideal en todo el rango de frecuencias en el que vamos a trabajar.
Por otro lado, el tiempo de respuesta del integrador depende del producto RC, de manera que
a altas frecuencias es posible que este tiempo sea equiparable al perodo de la seal, por lo
que tendremos que variar el valor del condensador segn el intervalo de frecuencias. No es
vlido cambiar el valor de la resistencia ya que nos cambia la ganancia de la seal.
Hay que hacer notar que medir la tensin de salida entre el terminal de salida del operacional
y tierra es lo mismo que hacerlo entre bornes del condensador, pues el condensador est
conectado a una tierra virtual por un lado, y por el otro a la salida del A.O.

3.2 Etapa de Salida.


En esta etapa debemos cumplir varias condiciones de diseo, como poder variar la amplitud
de la seal de salida entre 0.5 y 20 Vpp y controlar el nivel de continua entre +5V y 5V;
adems la etapa debe tener una impedancia de salida de 600 .

Cisneros Jimnez Ignacio, Cdigo; 399868279, icisneros@hotmail.com


Proyecto de Diseo con Electrnica Integrada.

Pgina 13

La amplitud de nuestra seal de salida la controlamos con un potencimetro (de 100k) a la


entrada en serie con una resistencia de 1k (ver figura 7). De esta manera podemos variar el
valor a la salida del potencimetro, pudiendo controlar la amplitud de la seal que le llega al
circuito amplificador, que tiene una ganancia de 50 V/V.
A la salida del A.O.
colocaremos un condensador de
100F el cual se encarga de
eliminar la componente continua
que pueda existir en las seales
sinusoidal, triangular y cuadrada.
Con este condensador se introduce
Figura 7
un filtro que no va a afectar a las
frecuencias que son de inters. Una
Figura 6
informacin ms detallada se ofrece
en el ANEXO III de este guin
Como se apuntaba antes, la
etapa debe controlar el nivel de continua entre +5V y 5V. Para ello utilizaremos un transistor
con la configuracin en colector comn con la disposicin de la figura 8.
Figura 8

Con este circuito tenemos una ganancia unidad luego la amplitud de la seal depende de la
seal que le llegue del potencimetro de 100 k.

Cisneros Jimnez Ignacio, Cdigo; 399868279, icisneros@hotmail.com


Proyecto de Diseo con Electrnica Integrada.

Pgina 14

Con el potencimetro de 5k lo que conseguimos es variar el nivel de continua para que el


margen este entre +5V y 5V lo que debe de caer como mnimo en el potencimetro son 10V,
para ello utilizaremos resistencias de 4.7k entre el potencimetro y las alimentaciones, lo
cual nos permite controlar el nivel de continua entre +5.2V y 5.2V estando dentro de las
condiciones de diseo.
La ltima de las condiciones nos la impone la impedancia de salida. Para ello estudiamos el
circuito equivalente en pequea seal (figura 9).

Figura 9

Observando el circuito tenemos que la impedancia de salida del circuito en colector comn va
a ser igual a la suma de la resistencia de salida RS con 1/gm, valor que podemos aproximar a la
mencionada RS, que vale 600.

Cisneros Jimnez Ignacio, Cdigo; 399868279, icisneros@hotmail.com


Proyecto de Diseo con Electrnica Integrada.

Pgina 15

3.3 ANEXO I
Empleo de varios condensadores
para todo el rango de frecuencias
El rango de frecuencias que nuestro circuito debe cubrir va desde los 100Hz hasta los
100KHz. Dado que la frecuencia de oscilacin del puente de Wien es
1
f =
2 R C
parece posible cubrir todas las frecuencias con un solo condensador y un potencimetro.
En efecto, esto es posible. Sin embargo no resulta del todo conveniente por el siguiente
motivo: si fijamos el valor de C nos encontraremos con que la frecuencia depende nica y
exclusivamente de la posicin del potencimetro. Para verlo ms claro, si representamos la
frecuencia frente a la resistencia lo que obtenemos es una hiprbola (ver figura 9).
Podemos observar que a medida que pretendamos
frecuencias elevadas, el valor de R va a ser cada vez
ms pequeo, porque la pendiente de la hiprbola es
cada vez ms pronunciada. Ser prcticamente
imposible fijar la posicin del potencimetro a un
valor tan preciso. Lo veremos en una aplicacin
numrica:
Si el potencimetro

es de 50k, entonces la

frecuencia de 100 Hz se conseguir con un


condensador de:
1
1
f =
C =
= 31.8nF
2 R C
2 50 103 100Hz

Figura 8

(imponemos que a la mnima frecuencia el potencimetro est en la posicin ms "favorable"


para aprovechar todo su recorrido).
La resistencia que deberemos ajustar con el potencimetro para obtener 100kHz ser:
1
R=
= 50 . Es materialmente imposible obtener con precisin
3
2 100 10 Hz 31.8 10 9 F
50 a partir de un potencimetro de 50k ya que este valor supone un 0.1% del valor de
potencimetro.
Lo que hemos hecho
cada condensador se
siempre en la regin
ni muy pequeas.

es emplear tres condensadores, uno para cada dcada de frecuencia. Con


obtiene una curva como la de la figura y lo que se pretende es trabajar
central, esto es, en la regin de la curva con pendientes ni muy grandes
Los condensadores empleados se han indicado en la prctica.

Cisneros Jimnez Ignacio, Cdigo; 399868279, icisneros@hotmail.com


Proyecto de Diseo con Electrnica Integrada.

Pgina 16

3.4 ANEXO II
Equilibrado de la
tensin de offset
En un A.O. ideal, cuando la entrada es nula, la salida tambin se hace cero. Sin embargo esto
no ocurre en los A.O. reales. En stos existe un desequilibrio debido al desajuste de los
transistores de entrada. A causa de este desajuste se producen unas corrientes de polarizacin
desiguales entre los terminales de entrada, as como unas tensiones base-emisor diferentes.
Para que la salida de un A.O. sea nula se introduce una tensin continua entre ambos
terminales que se conoce como tensin de offset.
La necesidad de equilibrar nuestros A.O. con una tensin de offset radica en el hecho de
controlar tanto el nivel de continua de cada seal como la forma de la misma.
De no introducir una tensin de offset en la etapa de seal cuadrada, tendremos dos
consecuencias no deseadas. En primer lugar, una pequea tensin DC a la entrada puede
amplificarse a la salida, dando lugar a un nivel de continua apreciable de valor no conocido.
En segundo lugar, la forma de la seal saldr asimtrica, como puede apreciarse en la figura
11. Al introducir una seal senoidal en al A.O. obtendramos a la salida una seal de igual
forma pero de amplitud mucho mayor. Si esa seal oscilante en la entrada va acompaada de
una pequea componente de continua, entonces a la
salida obtenemos la seal alterna amplificada y
desplazada respecto del nivel cero. Como la seal se
recorta (simtricamente respecto del nivel cero) por la
saturacin del A.O., al final lo que se obtiene es una
seal cuadrada que est a nivel alto ms (o menos)
tiempo que a nivel bajo. En la mencionada figura 11
puede distinguirse cmo la seal "cuadrada"
permanece ms tiempo a nivel alto que a nivel bajo.
Como tcnica de equilibrado nosotros hemos
empleado un potencimetro conectado entre las
Figura 9
tensiones de alimentacin y que entra directamente a
la patilla - del LF357, tal y como se indic ya en la

Figura 4

figura 4, y que aqu reproducimos:

Cisneros Jimnez Ignacio, Cdigo; 399868279, icisneros@hotmail.com


Proyecto de Diseo con Electrnica Integrada.

Pgina 17

3.5 ANEXO III


Clculo del Condensador
de Acoplo en la Etapa de Salida
El condensador elegido a la salida del circuito amplificador se coloca para eliminar la
componente de continua de las seales (para que no afecte al circuito regulador del nivel de la
componente continua) y para que la regulacin del nivel de continua que hagamos con el
potenciometro de 5k (el de la etapa de salida) no nos afecte a la salida del circuito
amplificador.
Para calcular el condensador debemos de tener en cuenta la resistencia que ve el condensador
y sta est determinada por la resistencia 7.2k7.2k (7.2=4.7+2.5, suponiendo el
potencimetro de 5k en la mitad de su recorrido) ya que la resistencia que se ve a la salida
del amplificador diferencial es muy pequea y despreciable frente al paralelo de stas (ver
figura 11).
Teniendo en cuenta el hecho de que el filtro tiene
un cero en el origen, colocamos un polo muy
prximo al origen, con lo cual para el margen de
100Hz a 100kHz el filtro se comporta como un
cortocircuito y para la componente de continua se
comporta como un circuito abierto.
V0
R C s
=
Vi 1 + R C s

Figura 10

f corte inferior

Teniendo en cuenta la funcin de transferencia, el


polo para un condensador de 100
F ser:
1
= 0.44Hz
=
2 R C

Como una capacidad de 100F es muy grande para lo que son los condensadores cermicos,
emplearemos dos condensadores electrolticos de 100F en contraserie, esto es, en serie pero
con la polaridad cambiada una respecto de la otra. Esto es as porque el condensador
electroltico funciona slo en una polaridad, mientras que si se le hace trabajar en la otra, el
condensador "salta". Como no sabemos la polaridad de la tensin de continua entre los bornes
del condensador, emplearemos dos condensadores en contraserie, de manera que slo uno
funcione y absorba la tensin de continua. El otro se comportar como un cortocircuito para la
seal de alterna debido a la capacidad tan alta del mismo (la tensin de continua no le afecta
porque
la
absorbe
el
otro
condensador).

Cisneros Jimnez Ignacio, Cdigo; 399868279, icisneros@hotmail.com


Proyecto de Diseo con Electrnica Integrada.

Pgina 18

3.6 Circuito del generador de funciones

Ing. Juan Gilberto Mateos Surez, Cdigo;7917333, jmateos@proton.ucting.udg.mx


Proyecto de Diseo con Electrnica Integrada.

Pgina 19

4.0 Resultados
En el presente proyecto se presentan los controles basicos y los tipos de ondas
que dan de respuesta los generadores de funciones, con el presente proyecto se
pretende tener al alcance un generador de funciones que es indispensable para
los estudiantes as como para los tcnicos.
Si bien existen en el mercado infinidad de marcas y tipos de generadores pero
en este proyecto se pretende tener al alcance la funcionalidad de estos
poderosos aparatos que tambin tienen un costo muy elevado y no es fcil
acceder a estos. Es por eso que con este proyecto se pretende llevarlo al alcance
de todo estudiante ya que es muy indispensable en el nivel de tcnico e
ingeniera y sobre todo muy utilizado en prcticas.
5.0 Referencias Bibliograficas
1 http://www.itlp.edu.mx/publica/tutoriales/electronica/tem1_3.htm
2 http://webdiee.cem.itesm.mx/web/servicios/archivo/tutoriales/generador/index.html
3 Apuntes de materia electrnica integrada
4 Apuntes de materia electrnica II

Ing. Juan Gilberto Mateos Surez, Cdigo;7917333, jmateos@proton.ucting.udg.mx


Proyecto de Diseo con Electrnica Integrada.

Pgina 20

También podría gustarte