Está en la página 1de 4

* Reproducido con permiso de VII Jornada de Ingeniera Universidad de Cundinamarca - 2012

ResumenEl presente artculo muestra el diseo e


implementacin de un robot mvil multifuncional: Seguidor de
lnea, seguidor de luz y evasor de obstculos, producto del
desarrollo de la prctica de laboratorio correspondiente a la
asignatura de Circuitos Digitales I del cuarto semestre acadmico
del Programa de Ingeniera Electrnica de la Universidad de
Cundinamarca. El objetivo general de la prctica de laboratorio
es identificar y aprender la importancia del desarrollo de
aplicaciones basadas en circuitos digitales programables PLD,
abordando los conceptos de Lenguaje de Descripcin de
Hardware VHDL y herramientas de desarrollo como ISPLever
Starter de la empresa Lattice Semiconductors. Los resultados
obtenidos permitieron desarrollar habilidades para el diseo de
aplicaciones basadas en lgica combinacional para una aplicacin
en particular del rea de Robtica, y adems comprender las
ventajas con respecto a la implementacin de aplicaciones
digitales utilizando circuitos digitales cableados convencionales.
El dispositivo lgico programable utilizado para el control del
robot mvil fue la GAL16V8B, el sensor CNY70 fue utilizado
para la deteccin de lnea, un par de fotoceldas como sistema
para deteccin de luz y para deteccin de obstculos unos
interruptores tipo bumper.

Palabras ClavesArreglos Lgicos Programable, Lenguaje de
Descripcin de Hardware VHDL, Lgica Combinacional,
Robtica mvil, Seguidor de Lnea, Seguidor de Luz.
I. INTRODUCCION
I bien la robtica es un rea que actualmente est siendo
utilizada en un sin nmero de aplicaciones industriales,
domesticas y educativas, trabajar con robots exige un
conocimiento multidisciplinar de la electrnica, la informtica
y hasta la mecnica [1]. Conocimiento de sensores,
comunicaciones, motores e incluso inteligencia artificial hace
de esta disciplina un excelente elemento formativo para
estudiantes y profesionales, colocando en prctica los

I. Ruge, Docente Universidad de Cundinamarca, Investigador Grupo
GITEINCO, Fusagasug, Colombia
ilberruge@yahoo.es, iruge@unicundi.edu.co.
I. Betancourt., Estudiante Universidad de Cundinamarca, Fusagasug,
Colombia.
ivanb2807@hotmail.com.
D. Garca, Estudiante Universidad de Cundinamarca, Fusagasug,
Colombia.
aleduv1701@hotmail.com.
P. Hurtado Estudiante Universidad de Cundinamarca, Fusagasug,
Colombia.
padrino890@hotmail.com.
conocimientos en cada una de las temticas anteriormente
mencionadas, adems de generar el deseo y la motivacin de
querer aprender nuevas tcnicas y mtodos que hagan del
robot un sistema ms autnomo e inteligente.
II. ESTRUCTURA DEL ROBOT MVIL MULTIFUNCIONAL

En la Fig. 1 se muestra el esquema general de la arquitectura
del robot mvil multifuncional implementado.

Fig. 1. Estructura de robot mvil multifuncional.

La funcin a realizar por el robot mvil esta determinada por
el estado de las entradas de seleccin (SEL0 y SEL1). Para la
funcin de seguimiento de lnea se utilizaron un par de
sensores pticos CNY70 (SL0 y SL1), para el seguimiento de
luz se utilizaron un par de fotoceldas (SLuz0 y SLuz1) y para
la funcin de evasin de obstculos un par de interruptores
tipo bumper (SObs0 y SObs1) [1], [2]. El cerebro del robot es
un arreglo lgico programable ATF16V8B [3] y la movilidad
del robot esta determinada por el accionamiento conjunto de
dos motores DC controlados por medio de un puente H
integrado L293B [1], [2]. La Fig. 2 muestra el diagrama de
bloques del robot implementado.


Diseo E Implementacin De Un Circuito Combinacional
Basado En Lenguaje De Descripcin De Hardware VHDL
Para Un Robot Mvil Multifuncional*
I. Ruge, I. Betancourt., D. Garca, P. Hurtado.
S
ENGI Revista Electrnica De La Facultad De Ingeniera Vol. 1 No. 1 Julio Ao 1 ISSN 2256-5612



* Reproducido con permiso de VII Jornada de Ingeniera Universidad de Cundinamarca - 2012



Fig. 2. Diagrama de bloques del robot mvil multifuncional.
III. DISEO DEL ALGORITMO CONTROLADOR DEL ROBOT
MOVIL MULTIFUNCIONAL
La tabla I muestra la asignacin de funciones a realizar por
el robot mvil, segn los estados de las lneas de seleccin.


Tabla I. Asignacin de funciones para robot mvil.
SEL1 SEL 0 FUNCIN
0 0 SEGUIDOR DE LNEA
0 1 SEGUIDOR DE LUZ
1 0 EVASOR DE OBSTCULOS
1 1 PARAR

A. Seguidor de Lnea
La funcin de seguidor de lnea solo tendr en cuenta los
estados lgicos digitales entregados por los sensores pticos
dispuestos para tal fin. El acondicionamiento electrnico
realizado a cada sensor ptico para que entregue dichos
estados digitales, se muestra en la Fig. 2.


Fig. 3. Acondicionamiento de sensores pticos.

El voltaje de calibracin Vcal se ajusta para mejorar la
sensibilidad de acuerdo a las condiciones de luminosidad de la
pista de prueba. La lgica utilizada para la activacin de los
motores para la funcin de seguimiento de lnea se muestra en
la Tabla II.


Tabla II. Lgica de control de motores para seguidor de lnea
ENTRADAS SALIDAS
SL1 SL0 MOTOR1 MOTOR 2
0 0 1 0 1 0
0 1 0 1 1 0
1 0 1 0 0 1
1 1 0 1 0 1

Los estados de MOTOR1 y MOTOR2 corresponden a los
estados que adoptaran las lneas de entrada al integrado L293B
Control0, Control1, Control2 y Control3 respectivamente
(Ver Fig. 2). Si ambos sensores detectan color negro (SL0=0 y
SL1=0) el robot debe avanzar, si se detecta color blanco por la
derecha (SL1=0 y SL0=1) el robot debe girar a la izquierda, si
se detecta color blanco por la izquierda (SL1=1 y SL0=0) el
robot debe girar a la derecha, y si ambos sensores detectan
color blanco (SL1=1 y SL0=1) el robot debe retroceder.

A. Seguidor de Luz
La funcin de seguidor de luz solo tendr en cuenta los
estados lgicos digitales entregados por las fotoceldas
dispuestas para tal fin. El acondicionamiento electrnico
realizado a cada fotocelda para que entregue dichos estados
digitales, se muestra en la Fig. 4.


Fig. 4. Acondicionamiento de sensores de luminosidad.

La lgica utilizada para la activacin de los motores para la
funcin de seguimiento de luz se muestra en la Tabla III.

Tabla III. Lgica de control de motores para seguidor de luz.
ENTRADAS SALIDAS
Sluz1 Sluz0 MOTOR1 MOTOR 2
0 0 1 1 1 1
0 1 0 1 1 0
1 0 1 0 0 1
1 1 0 1 0 1

Entonces, si ambos sensores de luminosidad detectan luz
(SLuz1=1 y SLuz0=1) el robot debe avanzar hacia adelante, si
se detecta luz por la derecha (SLuz1=0 y SLuz0=1) el robot
debe avanzar girando a la derecha, si se detecta luz por la
izquierda (SLuz1=1 y Sluz0=0) el robot debe avanzar girando
a la izquierda, y por ultimo, si no se detecta luminosidad
(SLuz1=0 y SLuz0=0) el robot debe parar.

A. Evasor de Obstculos
La funcin de evasin de obstculos solo tendr en cuenta los
estados lgicos digitales entregados por los interruptores tipo
bumper dispuestos para tal fin. El acondicionamiento
electrnico realizado a cada bumper para que entregue dichos
estados digitales, se muestra en la Fig. 5.

DISEO E IMPLEMENTACIN DE UN CIRCUITO COMBINACIONAL BASADO EN LENGUAJE DE DESCRIPCIN DE HARDWARE VHDL PARA UN ROBOT MVIL MULTIFUNCIONAL


* Reproducido con permiso de VII Jornada de Ingeniera Universidad de Cundinamarca - 2012



Fig. 5. Acondicionamiento sensores detectores de obstculos
tipo bumper.

La lgica utilizada para la activacin de los motores para la
funcin de evasin de obstculos se muestra en la Tabla IV.

Tabla IV. Lgica de control de motores para evasin de
obstculos.
ENTRADAS SALIDAS
Sobs1 Sobs0 MOTOR1 MOTOR 2
0 0 0 1 0 1
0 1 1 0 0 1
1 0 0 1 1 0
1 1 1 0 1 0

Si los bumpers no detectan obstculo (SObs1=1 y SObs0=1)
el robot debe avanzar, si se detecta obstculo por la derecha
(SObs1=0 y SObs0=1) el robot debe girar a la izquierda, si se
detecta obstculo por la izquierda (SObs1=1 y SObs0=0) el
robot debe girar a la derecha, y por ultimo, si se detecta
obstculo frontal (SObs1=0 y SObs0=0) el robot debe
retroceder.

La Fig. 6 muestra una idea general del algoritmo propuesto
para el control del robot mvil, segn lo descrito
anteriormente.


Fig. 6. Diagrama de funcionamiento del algoritmo de control
para el robot mvil.
IV. IMPLEMENTACION DEL ALGORITO EN DISPOSITIVO LOGICO
PROGRAMABLE ATF16V8B
Segn la descripcin de funcionamiento deseado para el
robot mvil, se realizo un algoritmo basado en lgica
combinacional [4] y [5]. Para su implementacin se selecciono
como dispositivo controlador el Arreglo Lgico Programable
ATF16V8B, y el cdigo fuente es basado en lenguaje de
descripcin de hardware VHDL [6].

Para la generacin del archivo .JEDEC se utilizo el software
de desarrollo ISPLever Starter [7], y el respectivo cdigo
VHDL se muestra a continuacin:

library ieee;
use ieee.std_logic_1164.all;
entity COMB_ROBOT is
port(
SEL: in std_logic_vector (1 downto 0);
S_LINEA: in std_logic_vector (1 downto 0);
S_LUZ: in std_logic_vector (1 downto 0);
S_OBST: in std_logic_vector (1 downto 0);
CONTROL: out std_logic_vector (3 downto 0);
end;
architecture BEHAVIORAL of COMB_ROBOT is
begin
process(SEL, S-LINEA, S_LUZ, S_OBST)
begin
--SEGUI DOR DE LI NEA
if SEL=00 then
if S_LINEA=00 then
CONTROL<=1010; --retrocede
elsif S_LINEA=01 then
CONTROL<=0110; --derecha
elsif S_LINEA=10 then
CONTROL<=1001; --izquierda
else
CONTROL<=0101; --adelante
end if;
end if;
--SEGUI DOR DE LUZ
if SEL=01 then
if S_ LUZ =00 then
CONTROL<=1010; --adelante
elsif S_ LUZ =01 then
CONTROL<=1001; --izquierda
elsif S_ LUZ =10 then
CONTROL<=0110; --derecha
else
CONTROL<=1111; --parar
end if;
--EVASOR DE OBSTACULOS
if SEL=10 then
if S_LINEA=00 then
CONTROL<=1010; --retrocede
elsif S_LINEA=01 then
CONTROL<=0110; --derecha
elsif S_LINEA=10 then
CONTROL<=1001; --izquierda
else
CONTROL<=0101; --adelante
end if;
end if;
--OTRO CASO
if SEL=11 then
CONTROL<=1111; --parar
end if;
end process;
end BEHAVIORAL;

La Fig. 7. Muestra el diagrama de conexiones del
dispositivo de control ATF16V8B y el Puente H integrado
L293B, para la respectiva validacin de funcionamiento del
RUGE, BETANCOURT, GARCA, HURTADO


* Reproducido con permiso de VII Jornada de Ingeniera Universidad de Cundinamarca - 2012


algoritmo de control combinacional planteado, utilizando el
software de simulacin ISIS Proteus.


Fig. 7. Diagrama de Conexiones entre sensores, controlador y
actuadores de robot mvil.

En la Fig. 8 se muestra el aspecto final del prototipo de robot
mvil multifuncional implementado.


Fig. 8. Robot mvil multifuncional.

El siguiente enlace contiene un video que muestra los modos
de funcionamiento del robot mvil expuesto en este artculo:
http://www.youtube.com/watch?v=ViZqxEfV700.
V. CONCLUSIONES

El desarrollo de aplicaciones basadas en dispositivos
programables, permite generar aplicaciones de manera mas
rpida y eficiente, puesto que el diseo es mas orientado al
algoritmo desarrollado a nivel de software, y los
inconvenientes de implementacin y cableado pasan a ser
problemas menos tediosos y difciles de corregir.

Para el desarrollo del circuito combinacional controlador
del robot mvil, es importante identificar las entradas y salidas
del sistema, as como el funcionamiento general del mismo,
puesto que el lenguaje de descripcin de hardware VHDL
sintetiza el circuito lgico respectivo de acuerdo a la
descripcin del comportamiento de las salidas con respecto a
los cambios en las entradas del sistema robtico.

El desarrollo de la prctica de laboratorio permiti
comprender de una manera ms clara el significado de
Lenguaje de Descripcin de Hardware, el concepto de
procesamiento Concurrente o Paralelo de los circuitos
integrados digitales, y la importancia de los dispositivos
programables PLD, en aplicaciones no solo como la robtica,
sino en otras aplicaciones donde este tipo de dispositivos
pueden desempearse de forma adecuada y pertinente como
elemento controlador.

REFERENCIAS
[1] J. Angulo, Introduccin a la Robtica: Principios tericos, construccin
y programacin de un robot educativo, Ed. Thomson, 2005, pp 75.
[2] E. Palacios, Microcontrolador PIC16F84 Desarrollo de Proyectos:
Sensores para Microrobtica, segunda edicin, Ed. AlfaOmega, 2006,
pp. 515-527.
[3] ATF16V8B DataSheet, Lattice Semiconductor, disponible en internet:
http://www.datasheetcatalog.org/datasheets/320/91363_DS.pdf.
[4] C. Roth Jr, Fundamentos de diseo logico: Descripcin VHDL de
Circuitos Combinacionales, Ed. Thomson, 2005, pp. 239-244.
[5] J. Wakerly, Diseo Digital Principios y Practicas: Principios de diseo
logico-combinacional, Ed. Prentice Hall, 2001, pp. 193-298.
[6] F. Pardo, VHDL Lenguaje para Sntesis y Modelado de Circuitos:
Descripcin Comportamental Algortmica, Ed. Alfaomega, 2004, pp.
69-82..
[7] J. Garza, Sistemas Digitales y Electrnica Digital Practicas de
Laboratorio: Captura Esquemtica ISPLever Starter, Ed. Prentice Hall,
2006, pp. 29-46.


Ruge, Ilber A. Ingeniero Electrnico egresado de la Universidad Pedaggica
y Tecnolgica de Colombia sede Sogamoso Boyac en el ao 2005, Magister
en Ingeniera de Control Industrial egresado de la Universidad de Ibagu
Tolima en el ao 2011. Actualmente se desempea como docente en la
Universidad de Cundinamarca en el Programa de Ingeniera Electrnica.
Miembro del grupo de Investigacin GITEINCO clase D ColCiencias. Temas
de inters: Control inteligente, energas alternativas, dispositivos electrnicos
programables entre otros.


Garca , Duvan G. Actualmente esta culminado estudios en la Universidad
de Cundinamarca en el Programa de Ingeniera Electrnica.

Hurtado, Pedro A. Actualmente esta culminado estudios en la Universidad
de Cundinamarca en el Programa de Ingeniera Electrnica.

Betancourt, Ivn D. Actualmente esta culminado estudios en la Universidad
de Cundinamarca en el Programa de Ingeniera Electrnica.


Fecha Recepcin: 21 de Mayo 2012
Fecha Aprobacin: 9 de Junio 2012

DISEO E IMPLEMENTACIN DE UN CIRCUITO COMBINACIONAL BASADO EN LENGUAJE DE DESCRIPCIN DE HARDWARE VHDL PARA UN ROBOT MVIL MULTIFUNCIONAL

También podría gustarte