Está en la página 1de 6

--signal s1, s2, s3 : std_logic_vector(3 downto 0);

--...
--s1(0) <= '0';
--s1(1) <= '1';
--s1(2) <= '1';
--s1(3) <= '0';
--s2 <= "1100"; -- sets s(3),s(2) to '1', s(1),s(0) to '0': same order as ra
nge in declaration
--s3 <= s2 -- copies all of s2 into s3
--s3 <= s1 and s2; -- "0100"
--vectorXPantallaGeneral (PosicionXBloqueA) <= '1';
--vectorXPantallaGeneral (PosicionXBloqueB) <= '1';
--vectorXPantallaGeneral (PosicionXBloqueC) <= '1';
--vectorXPantallaGeneral (PosicionXBloqueD) <= '1';
--vectorXPantallaGeneral (PosicionXBarra1Izquierdo) <= '1';
--vectorXPantallaGeneral (PosicionXBarra1Medio) <= '1';
--vectorXPantallaGeneral (PosicionXBarra1Derecho) <= '1';
--vectorXPantallaGeneral (PosicionXBarra2Izquierdo) <= '1';
--vectorXPantallaGeneral (PosicionXBarra2Medio) <= '1';
--vectorXPantallaGeneral (PosicionXBarra2Derecho) <= '1';
--vectorXPantallaGeneral (PosicionXBarra3Izquierdo) <= '1';
--vectorXPantallaGeneral (PosicionXBarra3Medio) <= '1';
--vectorXPantallaGeneral (PosicionXBarra3Derecho) <= '1';
--vectorXPantallaGeneral (PosicionXBarra4Izquierdo) <= '1';
--vectorXPantallaGeneral (PosicionXBarra4Medio) <= '1';
--vectorXPantallaGeneral (PosicionXBarra4Derecho) <= '1';
--vectorXPantallaGeneral (PosicionXBola1) <= '1';
--
--vectorYPantallaGeneral (PosicionYBloqueA) <= '1';
--vectorYPantallaGeneral (PosicionYBloqueB) <= '1';
--vectorYPantallaGeneral (PosicionYBloqueC) <= '1';
--vectorYPantallaGeneral (PosicionYBloqueD) <= '1';
--vectorYPantallaGeneral (PosicionYBarra1Izquierdo) <= '1';
--vectorYPantallaGeneral (PosicionYBarra1Medio) <= '1';
--vectorYPantallaGeneral (PosicionYBarra1Derecho) <= '1';
--vectorYPantallaGeneral (PosicionYBarra2Izquierdo) <= '1';
--vectorYPantallaGeneral (PosicionYBarra2Medio) <= '1';
--vectorYPantallaGeneral (PosicionYBarra2Derecho) <= '1';
--vectorYPantallaGeneral (PosicionYBarra3Izquierdo) <= '1';
--vectorYPantallaGeneral (PosicionYBarra3Medio) <= '1';
--vectorYPantallaGeneral (PosicionYBarra3Derecho) <= '1';
--vectorYPantallaGeneral (PosicionYBarra4Izquierdo) <= '1';
--vectorYPantallaGeneral (PosicionYBarra4Medio) <= '1';
--vectorYPantallaGeneral (PosicionYBarra4Derecho) <= '1';
--vectorYPantallaGeneral (PosicionYBola1) <= '1';
--
--vectorYPantallaGeneral (PosicionYBloqueA) <= '0';
--vectorYPantallaGeneral (PosicionYBloqueB) <= '0';
--vectorYPantallaGeneral (PosicionYBloqueC) <= '0';
--vectorYPantallaGeneral (PosicionYBloqueD) <= '0';
--vectorYPantallaGeneral (PosicionYBarra1Izquierdo) <= '0';
--vectorYPantallaGeneral (PosicionYBarra1Medio) <= '0';
--vectorYPantallaGeneral (PosicionYBarra1Derecho) <= '0';
--vectorYPantallaGeneral (PosicionYBarra2Izquierdo) <= '0';
--vectorYPantallaGeneral (PosicionYBarra2Medio) <= '0';
--vectorYPantallaGeneral (PosicionYBarra2Derecho) <= '0';
--vectorYPantallaGeneral (PosicionYBarra3Izquierdo) <= '0';
--vectorYPantallaGeneral (PosicionYBarra3Medio) <= '0';
--vectorYPantallaGeneral (PosicionYBarra3Derecho) <= '0';
--vectorYPantallaGeneral (PosicionYBarra4Izquierdo) <= '0';
--vectorYPantallaGeneral (PosicionYBarra4Medio) <= '0';
--vectorYPantallaGeneral (PosicionYBarra4Derecho) <= '0';
--vectorYPantallaGeneral (PosicionYBola1) <= '0';
--vectorXPantallaGeneral <= PosicionXBloqueA or PosicionXBloqueB or PosicionXBlo
queC or PosicionXBloqueD;
--vectorYPantallaGeneral <= PosicionYBloqueA or PosicionYBloqueB or PosicionYBlo
queC or PosicionYBloqueD;
-- signal vectorXPosicionBarra1Izquierdo : integer;
-- signal vectorYPosicionBarra1Izquierdo : integer;
-- signal vectorXPosicionBarra1Medio : integer;
-- signal vectorYPosicionBarra1Medio : integer;
-- signal vectorXPosicionBarra1Derecho : integer;
-- signal vectorYPosicionBarra1Derecho : integer;
--
-- signal vectorXPosicionBarra2Izquierdo : integer;
-- signal vectorYPosicionBarra2Izquierdo : integer;
-- signal vectorXPosicionBarra2Medio : integer;
-- signal vectorYPosicionBarra2Medio : integer;
-- signal vectorXPosicionBarra2Derecho : integer;
-- signal vectorYPosicionBarra2Derecho : integer;
--
-- signal vectorXPosicionBarra3Izquierdo : integer;
-- signal vectorYPosicionBarra3Izquierdo : integer;
-- signal vectorXPosicionBarra3Medio : integer;
-- signal vectorYPosicionBarra3Medio : integer;
-- signal vectorXPosicionBarra3Derecho : integer;
-- signal vectorYPosicionBarra3Derecho : integer;
--
-- signal vectorXPosicionBarra4Izquierdo : integer;
-- signal vectorYPosicionBarra4Izquierdo : integer;
-- signal vectorXPosicionBarra4Medio : integer;
-- signal vectorYPosicionBarra4Medio : integer;
-- signal vectorXPosicionBarra4Derecho : integer;
-- signal vectorYPosicionBarra4Derecho : integer);
-- vectorXPosicionBarra1Izquierdo <= '8';
-- vectorYPosicionBarra1Izquierdo <= '0';
-- vectorXPosicionBarra1Medio <= '7';
-- vectorYPosicionBarra1Medio <= '0';
-- vectorXPosicionBarra1Derecho <= '6';
-- vectorYPosicionBarra1Derecho <= '0';
--
-- vectorXPosicionBarra2Izquierdo <= '13';
-- vectorYPosicionBarra2Izquierdo <= '8';
-- vectorXPosicionBarra2Medio <= '13';
-- vectorYPosicionBarra2Medio <= '7';
-- vectorXPosicionBarra2Derecho <= '13';
-- vectorYPosicionBarra2Derecho <= '6';
--
-- vectorXPosicionBarra3Izquierdo <= '6';
-- vectorYPosicionBarra3Izquierdo <= '13';
-- vectorXPosicionBarra3Medio <= '7';
-- vectorYPosicionBarra3Medio <= '13';
-- vectorXPosicionBarra3Derecho <= '8';
-- vectorYPosicionBarra3Derecho <= '13';
--
-- vectorXPosicionBarra4Izquierdo <= '0';
-- vectorYPosicionBarra4Izquierdo <= '6';
-- vectorXPosicionBarra4Medio <= '0';
-- vectorYPosicionBarra4Medio <= '7';
-- vectorXPosicionBarra4Derecho <= '0';
-- vectorYPosicionBarra4Derecho <= '8';

-- vectorXPosicionBola1 <= "0010";
-- vectorYPosicionBola1 <= "0001";
--
-- vectorXPosicionBola1 <= vectorPosicionXBola1 + (vectorDireccionXBola1);
-- vectorYPosicionBola1 <= vectorPosicionYBola1 + (vectorDireccionYBola1);
--
-- -- IF MAQUINA DE ESTADO
--
--
-- with vectorXPosicionBola1 select
--
--vectorXPosicionLedsBola1 <= "10000000000000" when "0000"
--vectorXPosicionLedsBola1 <= "01000000000000" when "0001"
--vectorXPosicionLedsBola1 <= "00100000000000" when "0010"
--vectorXPosicionLedsBola1 <= "00010000000000" when "0011"
--vectorXPosicionLedsBola1 <= "00001000000000" when "0100"
--vectorXPosicionLedsBola1 <= "00000100000000" when "0101"
--vectorXPosicionLedsBola1 <= "00000010000000" when "0110"
--vectorXPosicionLedsBola1 <= "00000001000000" when "0111"
--vectorXPosicionLedsBola1 <= "00000000100000" when "1000"
--vectorXPosicionLedsBola1 <= "00000000010000" when "1001"
--vectorXPosicionLedsBola1 <= "00000000001000" when "1010"
--vectorXPosicionLedsBola1 <= "00000000000100" when "1011"
--vectorXPosicionLedsBola1 <= "00000000000010" when "1100"
--vectorXPosicionLedsBola1 <= "00000000000001" when "1101"
--fila :out std_logic_vector(TAMANO-1 downto 0);
--valoresColumnas : out std_logic_vector(PINES-TAMANO-1 downto 0);
-- signal vectorXPosicionBloqueA, vectorYPosicionBloqueA, vectorXPosicionBloqueB
, vectorYPosicionBloqueB, vectorXPosicionBloqueC, vectorYPosicionBloqueC, vecto
rXPosicionBloqueD, vectorYPosicionBloqueD : BUFFER std_logic_vector(3 downto 0);
-- signal vectorXPosicionLedsBloqueA, vectorYPosicionLedsBloqueA, vectorXPosicio
nLedsBloqueB, vectorYPosicionLedsBloqueB, vectorXPosicionLedsBloqueC, vectorYPo
sicionLedsBloqueC, vectorXPosicionLedsBloqueD, vectorYPosicionLedsBloqueD : BUFF
ER std_logic_vector(13 downto 0);
--signal vectorXPosicionLedsBloqueA, vectorYPosicionLedsBloqueA, vectorXPosicion
LedsBloqueB, vectorYPosicionLedsBloqueB, vectorXPosicionLedsBloqueC, vectorYPos
icionLedsBloqueC, vectorXPosicionLedsBloqueD, vectorYPosicionLedsBloqueD : integ
er;
-- signal vectorXPosicionBloqueA : out std_logic_vector(3 downto 0);
-- signal vectorYPosicionBloqueA : out std_logic_vector(3 downto 0);
-- signal vectorXPosicionBloqueB : out std_logic_vector(3 downto 0);
-- signal vectorYPosicionBloqueB : out std_logic_vector(3 downto 0);
-- signal vectorXPosicionBloqueC : out std_logic_vector(3 downto 0);
-- signal vectorYPosicionBloqueC : out std_logic_vector(3 downto 0);
-- signal vectorXPosicionBloqueD : out std_logic_vector(3 downto 0);
-- signal vectorYPosicionBloqueD : out std_logic_vector(3 downto 0);

-- signal s1, s2, s3 : BUFFER std_logic_vector(3 downto 0);
-- vectorXPosicionLedsBloqueA : out std_logic_vector(DIMENSIONPANTALLA-1 downto
0);
-- vectorYPosicionLedsBloqueA : out std_logic_vector(DIMENSIONPANTALLA-1 downto
0);
-- vectorXPosicionLedsBloqueB : out std_logic_vector(DIMENSIONPANTALLA-1 downto
0);
-- vectorYPosicionLedsBloqueB : out std_logic_vector(DIMENSIONPANTALLA-1 downto
0);
-- vectorXPosicionLedsBloqueC : out std_logic_vector(DIMENSIONPANTALLA-1 downto
0);
-- vectorYPosicionLedsBloqueC : out std_logic_vector(DIMENSIONPANTALLA-1 downto
0);
-- vectorXPosicionLedsBloqueD : out std_logic_vector(DIMENSIONPANTALLA-1 downto
0);
-- vectorYPosicionLedsBloqueD : out std_logic_vector(DIMENSIONPANTALLA-1 downto
0);
-- vectorXPosicionBola1 : out std_logic_vector(NUMEROBITS-1 downto 0);
-- vectorYPosicionBola1 : out std_logic_vector(NUMEROBITS-1 downto 0);
--
-- signal vectorXPosicionBarra1Izquierdo : BUFFER std_logic_vector(NUMEROBITS-1
downto 0);
-- signal vectorYPosicionBarra1Izquierdo : BUFFER std_logic_vector(NUMEROBITS-1
downto 0);
-- signal vectorXPosicionBarra1Medio : BUFFER std_logic_vector(NUMEROBITS-1 down
to 0);
-- signal vectorYPosicionBarra1Medio : BUFFER std_logic_vector(NUMEROBITS-1 down
to 0);
-- signal vectorXPosicionBarra1Derecho : BUFFER std_logic_vector(NUMEROBITS-1 do
wnto 0);
-- signal vectorYPosicionBarra1Derecho : BUFFER std_logic_vector(NUMEROBITS-1 do
wnto 0);
--
-- signal vectorXPosicionBarra2Izquierdo : BUFFER std_logic_vector(NUMEROBITS-1
downto 0);
-- signal vectorYPosicionBarra2Izquierdo : BUFFER std_logic_vector(NUMEROBITS-1
downto 0);
-- signal vectorXPosicionBarra2Medio : BUFFER std_logic_vector(NUMEROBITS-1 dow
nto 0);
-- signal vectorYPosicionBarra2Medio : BUFFER std_logic_vector(NUMEROBITS-1 dow
nto 0);
-- signal vectorXPosicionBarra2Derecho : BUFFER std_logic_vector(NUMEROBITS-1 d
ownto 0);
-- signal vectorYPosicionBarra2Derecho : BUFFER std_logic_vector(NUMEROBITS-1 d
ownto 0);
--
-- signal vectorXPosicionBarra3Izquierdo : BUFFER std_logic_vector(NUMEROBITS-1
downto 0);
-- signal vectorYPosicionBarra3Izquierdo : BUFFER std_logic_vector(NUMEROBITS-1
downto 0);
-- signal vectorXPosicionBarra3Medio : BUFFER std_logic_vector(NUMEROBITS-1 dow
nto 0);
-- signal vectorYPosicionBarra3Medio : BUFFER std_logic_vector(NUMEROBITS-1 dow
nto 0);
-- signal vectorXPosicionBarra3Derecho : BUFFER std_logic_vector(NUMEROBITS-1 d
ownto 0);
-- signal vectorYPosicionBarra3Derecho : BUFFER std_logic_vector(NUMEROBITS-1 d
ownto 0);
--
-- signal vectorXPosicionBarra4Izquierdo : BUFFER std_logic_vector(NUMEROBITS-1
downto 0);
-- signal vectorYPosicionBarra4Izquierdo : BUFFER std_logic_vector(NUMEROBITS-1
downto 0);
-- signal vectorXPosicionBarra4Medio : BUFFER std_logic_vector(NUMEROBITS-1 dow
nto 0);
-- signal vectorYPosicionBarra4Medio : BUFFER std_logic_vector(NUMEROBITS-1 dow
nto 0);
-- signal vectorXPosicionBarra4Derecho : BUFFER std_logic_vector(NUMEROBITS-1 d
ownto 0);
-- signal vectorYPosicionBarra4Derecho : BUFFER std_logic_vector(NUMEROBITS-1 d
ownto 0));
--with vectorXPosicionLedsBloque select
--indice <= '0' when '0';
--'1' when '1'
--'2' when '2'
--'3' when '3'
--'4' when '4'
--'5' when '5'
--'6' when '6'
--'7' when '7'
--'8' when '8'
--'9' when '9'
--'10' when '10'
--'11' when '11'
--'12' when '12'
--'13' when '13';
--indice = vectorXPosicionLedsBloque;
--vectorXPantallaGeneral (indice) <= '1';
--vectorXPosicionLedsBloqueA <= "10000000000000";
--vectorYPosicionLedsBloqueA <= "01111111111111";
--vectorXPosicionLedsBloqueB <= "00000000000001";
--vectorYPosicionLedsBloqueB <= "01111111111111";
--vectorXPosicionLedsBloqueC <= "00000000000000";
--vectorYPosicionLedsBloqueC <= "11111111111110";
--vectorXPosicionLedsBloqueD <= "00000000000001";
--vectorYPosicionLedsBloqueD <= "11111111111110";
-- vectorXPosicionBloqueA <= "0000";
-- vectorYPosicionBloqueA <= "0000";
-- vectorXPosicionBloqueB <= "1101";
-- vectorYPosicionBloqueB <= "0000";
-- vectorXPosicionBloqueC <= "0000";
-- vectorYPosicionBloqueC <= "1101";
-- vectorXPosicionBloqueD <= "1101";
-- vectorYPosicionBloqueD <= "1101";

-- vectorXPantallaGeneral(0) <= '1';
-- vectorXPantallaGeneral(1) <= '1';
-- vectorXPantallaGeneral(2) <= '1';
-- vectorXPantallaGeneral(3) <= '1';
-- vectorYPantallaGeneral(4) <= '1';
-- vectorYPantallaGeneral(5) <= '1';
-- vectorYPantallaGeneral(6) <= '1';
-- vectorYPantallaGeneral(7) <= '1';

También podría gustarte