Está en la página 1de 90

UNIVERSIDAD VERACRUZANA

FACULTAD DE INSTRUMENTACIN ELECTRNICA Y CIENCIAS ATMOSFRICAS

DISEO DE UN PROTOTIPO DE CONTROL EN UN PNDULO INVERTIDO PARA FINES DIDCTICOS UTILIZANDO MATLAB Y LABVIEW

T E S I S
Que para obtener el Ttulo de: INGENIERO EN INSTRUMENTACIN ELECTRNICA Presenta:
EDGAR ALAN VALDS IGLESIAS
Director de Tesis: M. I. Cuitlahuac Garca Jimnez Co-Director de Tesis: M. C. Jacinto Enrique Pretelin Canela

Xalapa, Ver.

Diciembre 2011

Agradecimientos

A mis padres por darme la vida, una excelente formacin contagindome de todo su amor y entregndome la posibilidad de cumplir con este logro. A mis hermanos por todas sus preocupaciones, alientos y alegras estando conmigo siempre. A todos mis amigos, amigas y a m novia por todo su apoyo dedicndome su tiempo, paciencia y comprensin a lo largo de mi vida. A dios por brindarme la oportunidad de vivir cada da.

ndice
Introduccin.................................................................................................................. 1 Descripcin general .................................................................................................. 1 Planteamiento del problema .................................................................................... 2 Capitulo I. Antecedentes .............................................................................................. 3 1.1 Historia................................................................................................................ 3 1.2 Control en lazo cerrado y control en lazo abierto .............................................. 4 1.3 Caracterizacin de un sistema lineal invariante en el tiempo ............................ 5 Capitulo II. Modelado ................................................................................................... 7 2.1 Descripcin y modelado del motor de corriente directa ................................... 7 2.2 Descripcin y modelado del pndulo ............................................................... 35 2.3 Conexin entre el pndulo y el motor de CD ................................................... 47 Capitulo III. Anlisis de la planta y propuesta del controlador ................................... 51 3.1 Respuesta al escaln unitario ........................................................................... 51 3.2 Lugar geomtrico de las races ......................................................................... 52 3.3 Controlador PID ................................................................................................ 55 3.4 Controlador con ganancia negativa .................................................................. 59 Capitulo IV. Implementacin ...................................................................................... 63 4.1.1 Circuito para la medicin de ngulos ............................................................ 66 4.1.2 Programa en LabVIEW para la medicin de ngulos ..................................... 67 4.1.3 Implementacin de un PID utilizando LabVIEW ............................................ 76 Conclusiones ............................................................................................................... 77 Anexos......................................................................................................................... 78 Anexo A ................................................................................................................... 78 Anexo B ................................................................................................................... 80 Anexo C ................................................................................................................... 81 Anexo D ................................................................................................................... 82 Bibliografa .................................................................................................................. 84

Introduccin
Descripcin general La ingeniera de control estudia, analiza y disea sistemas para fines industriales, de robtica, investigacin etctera; con el objetivo principal de medir, regular y dirigir el sistema a un estado deseado, considerando las variables posibles que pueden afectar el proceso y midiendo los resultados para que automticamente los errores y desviaciones sean corregidos. En la rama de la ingeniera en Control un sistema con estas caractersticas es denominado sistema de control automtico realimentado. El pndulo invertido es un problema tpico de control automtico realimentado, comnmente estudiado en clases introductorias de controladores y dinmica de sistemas, siendo el mismo muy conocido por su excelente analoga con la estabilizacin de una gra[1], principios de robtica y sobre todo para aplicaciones didcticas, por ser un excelente medio de comprobacin y evaluacin de las diferentes metodologas de control. Muchos pndulos invertidos modernos usan sensores giroscpicos, encoders pticos con microprocesadores o computadoras para implementar sus algoritmos de control. Este trabajo recepcional busca realizar la implementacin de un sistema de control automtico realimentado con un pndulo invertido utilizando control clsico, mediante el uso de herramientas de control para una computadora personal, proponiendo para la medicin de la posicin angular del pndulo invertido, el uso de un arreglo de sensores de efecto hall y como actuador una banda movida por un motor de corriente directa.

Planteamiento del problema Un pndulo invertido es un dispositivo fsico que consiste en una barra cilndrica con libertad de oscilar alrededor de un pivote fijo. Este pivote es montado sobre un carro siguiendo un movimiento en una trayectoria horizontal. El propsito final es mantener al pndulo en una posicin perpendicular equilibrada automticamente. La fuerza aplicada como accin de control es generada por el par que el motor transmite a la banda utilizando un controlador digital para modificar el voltaje de armadura y tomando lecturas del ngulo de inclinacin del pndulo. El diseo es solventado bajo el uso de MATLAB[2] con la herramienta particular Simulink, cuyo anlisis, modelado y simulacin servir para poder trasladarlo a la plataforma de LabVIEW[3] en la cual se realizar la implementacin del controlador. Generando una aplicacin de programa para computadora que tenga la ventaja de ser auto configurable y de fcil conexin (plug and play ); la cual solo requiera del programa adecuado y los elementos descritos en este trabajo para poder realizar su correcta ejecucin.

Capitulo I. Antecedentes
1.1 Historia A lo largo del tiempo el estudio de los sistemas de control y de la teora de los mismos ha sido ampliamente estudiado y experimentado en diferentes formas debido a la gran variedad de problemas y la necesidad de sistemas de control ms eficaces al momento de ser puestos en prctica. En el panorama histrico el primer trabajo significativo en control automtico realimentado fue el regulador de velocidad centrfugo de James Watt[4], el cual utiliz para el control de una mquina de vapor, en el siglo XVIII. En la figura 1.1 se muestra un dibujo del control de velocidad propuesto por James Watt[5].

Figura 1.1 Regulador de Watt. Personajes importantes como Minorsky, Hazen, Evans, Bode y Nyquist, entre muchos otros, aportaron trabajos importantes en las etapas iniciales del desarrollo de la teora de control. En 1922, Minorsky trabaj en los controladores automticos para dirigir embarcaciones, y mostr que la estabilidad puede determinarse a partir de las ecuaciones diferenciales que describen al sistema. En 1932, Nyquist dise un procedimiento relativamente simple para determinar la estabilidad de sistemas en lazo cerrado, con base en la respuesta en lazo abierto en estado estable cuando la entrada aplicada es una onda senoidal. En 1934, Hazen, introdujo el trmino servomecanismos para los sistemas de control de posicin, analiz el diseo de los servomecanismos con relevadores, capaces de seguir con precisin una entrada cambiante[6]. Posteriormente en la dcada de los cuarentas los mtodos acerca de la respuesta en frecuencia hicieron posible que los ingenieros disearan sistemas de control lineales a los cuales se les agregaba el lazo de realimentacin, con el cual podan cumplir los requerimientos de desempeo. Los mtodos de respuesta en frecuencia y del lugar geomtrico de las races[7], que forman parte importante de la teora de control clsica, conducen a sistemas estables 3

que satisfacen un conjunto relativamente arbitrario de requerimientos de desempeo. En general, estos sistemas son aceptables pero no ptimos en forma significativa. Desde el final de la dcada de los cincuenta, el nfasis en los problemas de diseo de control se ha enfocado en el diseo de sistemas ptimos. Conforme las plantas modernas con muchas variables de control se vuelven ms complejas, la descripcin de un sistema de control moderno requiere de una gran cantidad de ecuaciones. Razn por la que la teora del control clsica, que trata sistemas con una sola variable controlada SISO1, pierde su solidez ante sistemas de control multivariable MIMO2. Desde 1960, debido a que la disponibilidad de las computadoras digitales hizo posible el anlisis en el dominio del tiempo de sistemas complejos, la teora de control moderna, basada en el anlisis en el dominio del tiempo y la sntesis a partir de variables de estados, se ha desarrollado para enfrentar la creciente complejidad de las plantas modernas y los requerimientos limitativos respecto de la precisin, el peso y el costo en aplicaciones militares, espaciales e industriales. Durante los aos comprendidos entre 1960 y 1980, Se investigaron a fondo el control optim tanto de sistemas determinsticos como estocsticos, y el control adaptable, mediante el aprendizaje de sistemas complejos[8]. El problema de control del pndulo invertido ser analizado desde la perspectiva del control clsico, usando una computadora personal como controlador y evadiendo en lo posible los efectos de la discretizacin de la seal proveniente del sensor. Lo anterior permitir realizar el anlisis de estabilidad mediante tcnicas como el lugar geomtrico de las races para sistemas en tiempo continuo[9]. 1.2 Control en lazo cerrado y control en lazo abierto En el argot de la teora de control el trmino entrada se aplica a la variable de referencia o valor deseado; y el concepto de salida se reserva para la variable controlada. Los sistemas de control realimentados tambin denominados sistemas de control en lazo cerrado, son en los cuales se alimenta al controlador mediante la seal de error de actuacin, que es la diferencia entre la seal de entrada y la seal de realimentacin (que puede ser la seal de salida misma o una funcin de la seal de salida y sus derivadas y/o integrales), a fin de reducir el error y llevar la salida del sistema a un valor conveniente. En la figura 1.2 se puede apreciar el diagrama de un sistema de control de lazo cerrado.

1 2

Single input single output. Multiple input multiple output.

Entrada

Controlador

Actuador

Proceso

Variable de salida

Sensor de Realimentacin

Figura 1.2. Esquema bsico de un sistema de control de lazo cerrado. Los sistemas en los cuales la salida no afecta la accin de control se denominan sistemas de control en lazo abierto. En cualquier sistema de control en lazo abierto, la salida no se compara con la entrada de referencia. En la figura 1.3 se muestra el esquema de un sistema de control de lazo abierto.

Parmetro de entrada

Controlador

Actuador

Proceso

Variable de salida

Figura 1.3. Esquema bsico de un sistema de control de lazo abierto. En el presente trabajo la problemtica planteada se abordar bajo la filosofa de un sistema realimentado de lazo cerrado dada la efectividad para corregir la inclinacin inminente del pndulo invertido la cual debe ser continuamente medida. 1.3 Caracterizacin de un sistema lineal invariante en el tiempo 1.3.1 Modelo de un sistema Antes de utilizar algn mtodo de control, se debe realizar el modelado matemtico del mismo sabiendo que por medio de ecuaciones diferenciales se puede describir el comportamiento de un sistema fsico. Describiendo las leyes fsicas que rigen el comportamiento de dicho sistema. Se debe de tener en cuenta que una descripcin completa y precisa del sistema fsico puede resultar demasiado compleja y laboriosa; por ello debemos modelar el sistema llegando a un compromiso entre la exactitud y la sencillez requeridas al sistema. En cualquier caso se debe garantizar que el modelo obtenido responda a las exigencias inciales del estudio, pues ello determina el rango de validez de un modelo [10]. Aunque existen otras alternativas para modelar (modelos fsicos a escala y determinacin experimental) en el presente trabajo se opt por el modelado matemtico en virtud de la simplicidad dinmica de las ecuaciones diferenciales bien conocidas para este caso.

1.3.2 Clasificacin de sistemas lineales y no lineales Comnmente los sistemas pueden ser clasificados en lineales o no lineales, existiendo tambin la posibilidad de clasificarlos como variantes o invariantes en el tiempo[11]. Un sistema se considera lineal siempre y cuando pueda ser descrito por ecuaciones diferenciales lineales mediante la propiedad principal de permitir el principio de superposicin[12]. El cual indica que una ecuacin lineal puede descomponerse en ecuaciones ms sencillas de tal manera que ests al ser sumadas puedan proporcionar la solucin de la ecuacin original. Un sistema es no lineal cuando no puede ser descrito por ecuaciones diferenciales lineales, lo que conlleva a que no puede ser aplicado el principio de superposicin en estos sistemas. El linealizar el modelado matemtico mediante tcnicas adecuadas puede ser utilizado para este tipo de sistemas.

Capitulo II. Modelado


2.1 Descripcin y modelado del motor de corriente directa Puesto que se pretende que el sistema de control sea un prototipo que otros estudiantes puedan reproducir el motor seleccionado para la implementacin del prototipo fue obtenido de una impresora que haba sido reciclada. Por otro lado, debido a su fino engranaje y lo prctico que resulta su uso, este motor fue una opcin adecuada tomando en cuenta las pruebas que se realizaron con motores paso a paso y servomotores de los cuales se tena acceso, sin la obtencin de resultados suficientemente satisfactorios. Los motores paso a paso carecan de torque y la velocidad del servomotor no era la apropiada. Se proceder a describir el comportamiento del motor de corriente directa (CD) por medio de un modelado matemtico, el cual relaciona las variables que intervienen en la operacin del mismo, para as encontrar una interpretacin matemtica que pueda utilizarse para poder simular el comportamiento del motor de CD. 2.1.1 Partes del motor Las partes principales de un motor de corriente directa son:

Rotor
Constituye la parte mvil del motor, proporciona el torque para mover a la carga. La figura 2.2 muestra a detalle las partes del rotor. Est constituido por: Eje: Formado por una barra de acero fresada. Ncleo: Fabricado con capas laminadas de acero, su funcin es proporcionar un trayecto magntico entre los polos para que el flujo magntico del devanado circule.

Figura 2.2 Partes principales del rotor. 7

Devanado: Consta de bobinas aisladas entre s. Estas bobinas estn alojadas en las ranuras del ncleo, y estn conectadas elctricamente con el colector, el cual debido a su movimiento rotatorio, proporciona un camino de conduccin conmutado. Colector: Denominado tambin conmutador, est constituido de lminas de material conductor (delgas), separadas entre s y del centro del eje por un material aislante, para evitar un cortocircuito con dichos elementos. La funcin del colector es recoger la tensin producida por el devanado inducido, transmitindola al circuito por medio de las escobillas.

Estator
Constituye la parte fija de la mquina. Su funcin es suministrar el flujo magntico que ser usado por el embobinado del rotor para realizar su movimiento giratorio. En la figura 2.3 se puede observar las partes del estator. Est formado por: Armazn: Denominado tambin yugo, tiene dos funciones primordiales: servir como soporte y proporcionar una trayectoria de retorno al flujo magntico del rotor y del imn permanente, para completar el circuito magntico.

Figura 2.3 Partes principales del estator. Imn permanente: Su funcin es proporcionar un campo magntico uniforme al devanado del rotor o armadura. Escobillas: La funcin de las escobillas es transmitir la tensin y corriente de la fuente de alimentacin hacia el colector y, por consiguiente, al bobinado del rotor. La funcin del porta escobillas es mantener a las escobillas en su posicin de contacto firme con los segmentos del colector[13].

2.1.2 Par electromagntico generado Un motor de CD consta de dos partes principales (rotor y estator), los cuales son de ayuda para la descripcin de su funcionamiento. En la figura 2.4 puede verse que en la bobina circula una corriente cuando est situada en un campo magntico[14]. Siempre que un conductor por el que pasa una corriente elctrica se sumerge en un campo magntico, el conductor sufre una fuerza perpendicular al plano formado por el campo magntico y la corriente3 expresndose como: = ( ) (ec. 2.1) Donde es la fuerza aplicada al conductor, es la magnitud de la corriente en el alambre, es la longitud del alambre sabiendo que la direccin est definida por el flujo de corriente, y el vector de densidad de flujo magntico. Siguiendo la regla de la mano izquierda la cual determina: si el dedo ndice de la mano izquierda apunta en la direccin del vector de densidad de campo y el dedo medio apunta hacia la direccin del vector , por lo consiguiente el dedo pulgar seala la direccin de la fuerza resultante sobre el alambre. La magnitud de esta fuerza estar dada mediante la siguiente ecuacin: = (ec. 2.2)

Figura 2.4 Mquina bsica de CD que opera como motor. Donde es el ngulo comprendido entre el alambre ( ) y el vector de la densidad de flujo (), sabiendo que la fuerza siempre es perpendicular a la direccin de flujo la ecuacin puede ser reducida: = 90 = (ec. 2.3)
3

Principio de Lorentz.

Tanto el campo magntico, como la intensidad de corriente que circula por el conductor y la longitud activa del conductor son factores que afectan la magnitud de la fuerza electromagntica. En base a esto si alguno de estos tres factores vara, la fuerza electromagntica tiene tambin una modificacin. El par es definido como el producto de la fuerza aplicada al objeto por la distancia ms corta entre la lnea de accin de la fuerza y el eje de rotacin del objeto (distancia radial). De acuerdo con la ecuacin (2.3) y la regla de la mano izquierda. Se desarrolla una fuerza perpendicular 1 en el lado de la bobina 1 y una fuerza similar 2 en el lado de la bobina 2, como se muestra en la figura 2.5. Las fuerzas 1 y 2 se desarrollan en un sentido tal que tienden a producir una rotacin en el sentido contrario de las manecillas del reloj de la estructura que soporta a los conductores alrededor del centro de giro C. El par que acta sobre la bobina de la figura 2.5 es la suma de los productos 1 y 2 , sea, la suma total de todos los pares que actan son producidos por los conductores individuales que tienden a producir una rotacin. Debe notarse que las fuerzas 1 y 2 son iguales ya que los conductores estn situados en un campo de la misma intensidad magntica y que por ellos circula la misma corriente en un campo uniforme; pero los pares desarrollados, por definicin, no son los mismos para cada uno de los conductores[15]. En la figura 2.5 se puede observar que todos los conductores en los que circula una corriente en el mismo sentido desarrollan la misma fuerza[16]. Conociendo que el par es el producto de una fuerza por su distancia perpendicular al eje, se desarrolla la componente de la ecuacin (2.3) siendo: = (ec. 2.4)

Figura 2.5 Vista frontal de un motor de CD. En la que es la fuerza sobre cada conductor, la cual es desarrollada por la ecuacin (2.3) y es el ngulo complementario del ngulo formado por la fuerza desarrollada sobre el conductor y la fuerza til tangencial a la periferia del inducido. Por lo tanto el par desarrollado por cualquier conductor sobre la superficie del inducido es: 10

= (ec. 2.5) = (ec. 2.6) En el momento que un conductor de la espira en la bobina es tangente o se encuentra perpendicularmente en la direccin de la densidad de flujo magntico. = 90 (ec. 2.7) = (ec. 2.8) Al sustituir la ecuacin (2.3) en la ecuacin (2.7) para un conductor perpendicularmente al campo. = (ec. 2.9)

Las ecuaciones anteriores son utilizadas para el anlisis electromagntico de un motor de CD real. En una mquina real la corriente de armadura total se divide entre los caminos de corriente, por lo que la corriente del conductor est dada por: =

(ec. 2.10)

Y el par en un solo conductor en el motor se puede expresar como =

(ec. 2.11)

Puesto que hay z conductores en el embobinado de armadura, el par inducido total en el motor de CD es = (ec. 2.12) Este par tambin se puede expresar de manera ms conveniente si se nota que el flujo de un polo es igual a la densidad de campo bajo el polo simplificado por el rea del polo:

11

= (ec. 2.13) El rotor de la mquina tiene forma de cilindro sin tapas, por lo que su rea es igual a = 2 (ec. 2.14) Si hay polos es una mquina, entonces la porcin del rea asociada con cada polo, el rea total dividida entre el nmero de polos [17]. = 2 =

(ec. 2.15)

Por lo tanto, el flujo por polo total de la mquina es: = = 2 (ec. 2.16)

= 2 Por lo que el par electromagntico puede expresarse como: = = 2 2

(ec. 2.17)

(ec. 2.18)

Simplificando = 1 (ec. 2.19) Donde = 2

(ec. 2.20)

Ya que se trata de un motor con excitacin separada y ser controlado desde la armadura a una excitacin de campo magntico constante. = = (ec. 2.21) Considerando un flujo de campo constante, el par electromagntico generado en el motor es: 12

= (ec. 2.22) Donde = 1 (ec. 2.23) La ecuacin anterior demuestra que el par en un motor de CD se rige proporcionalmente de la corriente de armadura y una constante que depende de la construccin de la mquina. 2.1.3 Fuerza contraelectromotriz (FCEM) inducida En un motor de CD se presenta tambin el principio de generacin, a este efecto se le conoce como fuerza contra electromotriz inducida (FCEM). ste es un voltaje generado en la armadura de la mquina como producto del movimiento de los conductores a travs del campo magntico, los cuales al acumular las lneas de flujo magntico crean un voltaje en las terminales de la armadura4.El considerar este efecto es relevante ya que influye en el comportamiento dinmico del motor de CD. En la figura 2.6 se muestra el diagrama bsico de un motor de CD[18], en el cual si el alambre conductor es orientado de manera adecuada, el desplazamiento a travs de un campo magntico provocara que se indujera un voltaje dentro de sus terminales. Utilizando la relacin de la ley de Faraday el voltaje inducido est dado por: = ( ) (ec. 2.24) Donde es la velocidad en el conductor, es el vector de densidad de flujo magntico y es la longitud del conductor en el campo magntico.

Figura 2.6 Motor de CD con el principio de generacin de voltaje. La FCEM de un conductor cualquiera movindose en cualquier direccin sobre su eje de rotacin con respecto al campo magntico est dada por:

Ley de Faraday.

13

= (ec. 2.25) Cuando el conductor se encuentra perpendicular al vector de la densidad de flujo la ecuacin se reduce: = (ec. 2.26) Obteniendo, que el voltaje de armadura de una mquina real es: =

(ec. 2.27)

Donde es el nmero de conductores y es el nmero de caminos de corriente. 2.1.4 Modelo del circuito elctrico de la armadura Existe una relacin directa en el comportamiento del circuito de armadura con componentes electrnicos. La figura 2.7 muestra el esquema de un motor de CD[19], donde se puede apreciar que se trata de un circuito elctrico constituido por una resistencia y un inductor, los cuales son llamados y respectivamente, por lo tanto el funcionamiento del mismo se puede determinar por medio de un circuito RL de corriente directa.

Figura 2.7 Esquema de un motor de CD. El comportamiento del circuito RL se encuentra desde el arranque del motor hasta que el motor alcanza su estado estacionario, en este transcurso es donde se realiza el anlisis transitorio del sistema. Mediante la Ley de voltajes de Kirchhoff y teora de circuitos elctricos, las ecuaciones para el equivalente de un motor de CD son representadas como: = + + + + = (ec. 2.28) (ec. 2.29) 14

Donde : Voltaje en las terminales de la armadura. : Cada de voltaje en la inductancia. : Cada de voltaje en la resistencia. : Fuerza contraelectromotriz desarrollada en la armadura. La ecuacin (2.29) relaciona la FCEM, puesto que al proporcionar corriente en el circuito produce una FCEM en el inducido a causa del giro de la mquina y los cortes de lneas de flujo magntico. La polaridad de la FCEM es contraria al voltaje del inducido. 2.1.5 Descripcin matemtica del sistema mecnico Cuando una mquina rotatoria se utiliza como motor, proporciona energa elctrica a sus embobinados y las fuerzas electromagnticas desarrollan un par mecnico. Utilizando las leyes de Newton se puede describir este par mecnico, sabiendo que el par neto total que acta sobre un eje siempre es cero, interpretando todas las componentes, de acuerdo a una direccin de referencia comn. Entonces el equilibrio o balance del par de la armadura que acelera una carga en la direccin del giro del rotor, sometida a la perdida de par debido al rozamiento mecnico, por la friccin del aire y la perdida en el hierro, es el siguiente[20]. = = 0 (ec. 2.30) = + + (ec. 2.31) = + + (ec. 2.32) Donde: : Par mecnico producido por el momento de inercia , ste trmino desaparece cuando la aceleracin es cero y se presenta en condiciones de estado permanente. : Par mecnico producido por el coeficiente de friccin viscosa desarrollado en los valeros o chumaceras, as como en cualquier otra zona donde existe algn rozamiento, este trmino permanece constante si lo es tambin. : Par mecnico producido por la carga externa aplicada al rotor de la mquina.

15

El momento de inercia est compuesto por el momento de inercia al hacer girar el inducido del motor y el momento de inercia de la carga , ya que la carga gira a la misma velocidad que el motor. = + (ec. 2.33) De la misma manera el coeficiente de friccin viscosa es el resultado del rozamiento de las partes mecnicas y el rozamiento de la carga conectada al eje del motor . = + (ec. 2.34) 2.1.6 Modelado del motor de corriente directa En base a las relaciones antes descritas, se pueden realizar las ecuaciones que describen el modelado del sistema como: = (ec. 2.35) = (ec. 2.36) = + + (ec. 2.37) = + + (ec. 2.38) Donde: : Par electromagntico generado (N.m) : Corriente de armadura(A). : Fuerza contraelectromotriz inducida (V). : Velocidad angular del motor (rad/s). : Voltaje aplicado en terminales de la armadura (V). : Par mecnico producido en el motor (N.m). : Par de carga (N.m). : Resistencia de devanado de armadura (). 16

: Inductancia del devanado de armadura (H). : Momento de inercia equivalente (Kg.m2). : Coeficiente de friccin viscosa equivalente (N.m.s/rad). : Constante del par electromagntico (N.m/A). : Constante de la FCEM (v.s/rad). Por la ley de Newton, se sabe que en cualquier mquina giratoria se presenta un balance del par electromagntico con el par mecnico con lo que se obtiene la igualdad: = (ec. 2.39) Las ecuaciones desarrolladas para el comportamiento del sistema, se encuentran en funcin del tiempo para el anlisis del sistema dinmico. Cabe sealar que la constante de par electromagntico y la constante de la FCEM sus valores se encuentran estrechamente relacionados. Una manera de demostrar esta relacin es sabiendo que la potencia en estado permanente[21] generada en la armadura es: = (ec. 2.40) La potencia mecnica en estado estacionaria es descrita por: = (ec. 2.41) Ya que en las mquinas elctricas debe haber un equilibrio entre la potencia elctrica y la potencia mecnica = (ec. 2.42) = (ec. 2.43) Sustituyendo el valor de las ecuaciones (2.35) y (2.36) en (2.43). = De donde se obtiene:

17

= (ec. 2.44) 2.1.7 Medicin de parmetros Conociendo las ecuaciones que rigen al motor de CD, se necesita determinar los parmetros del mismo para poder realizar una correcta accin en l, produciendo el valor de par necesario para el equilibrio del pndulo. 2.1.7.1 Resistencia de armadura (Ra) Utilizando un multmetro digital BK PRECISION modelo 2890A[22] y conectndolo a las terminales del motor se realiz la medicin de la resistencia de armadura. La resistencia de armadura ( ) vara con respecto a la posicin del rotor por lo que se debe girar lentamente el rotor de forma manual, para realizar un cierto nmero de mediciones y tomar el promedio de las mismas. La tabla 2.1 muestra las mediciones que se realizaron del valor de la resistencia de armadura. Nm. 1 2 3 4 5 6 7 8 9 10 Ra ()
22.04 24.3 25 25.06 26.15 22.02 21.6 23.44 22.64 24.12

Tabla 2.1 Mediciones de la resistencia de armadura Obteniendo el valor promedio = 23.637 2.1.7.2 Inductancia de la armadura (La) El valor de fue determinado utilizando una medicin directa del inductor en las terminales del motor por medio de un multmetro digital TES-2360 LCR[23], tomando 18

diversos valores de la inductancia de armadura en diversas posiciones del rotor. La tabla 2.2 contiene los valores de las mediciones de la inductancia de armadura. Nm. 1 2 3 4 5 6 7 8 9 10 La (H) 0.012 0.011 0.014 0.012 0.010 0.010 0.011 0.014 0.012 0.012

Tabla 2.2 Mediciones de la inductancia de armadura Obteniendo el valor promedio = 0.012 H 2.1.7.3 Constante de la FCEM ( ) Para determinar esta constante se realiz la medicin de la velocidad angular () del motor sin carga a diferentes valores de entrada de voltaje. Para obtener las mediciones de la velocidad angular se implement un tacmetro instalado en el eje del motor por medio de la tarjeta de adquisicin de datos usb-6212 (DAQ)[24] de la compaa National Instruments y con un sensor de efecto hall unipolar A1101EUA-T[25] de la compaa Allegro MicroSystems. El sensor de efecto hall relaciona el voltaje entre dos puntos de un semiconductor por medio de un campo magntico que pasa a travs del material. Dichos sensores estn basados en el principio de una fuerza de Lorentz que acta sobre una partcula cargada que se desplaza a travs de un campo magntico. Esta fuerza acta sobre un eje perpendicular al plano establecido por la direccin de movimiento de la partcula cargada y la direccin del campo. Es decir, la fuerza de Lorentz viene dada por = ( ), en donde q es la carga, es el vector de velocidad y es el vector del campo magntico. 19

Al llevar un material ferromagntico cerca del dispositivo de imn semiconductor la intensidad del campo magntico disminuir, con la consiguiente reduccin de la fuerza de Lorentz y, finalmente, el voltaje a travs del semiconductor. Esta cada en el voltaje es la clave para detectar la proximidad con sensores de efecto hall[26]. Este sensor fue seleccionado debido a que no requera de tener contacto con la superficie a medir para poder detectar los cambios en el campo magntico y adems permite una configuracin unipolar que logra detectar el paso de uno de los polos del imn. Para que los sensores operen bajo un buen funcionamiento es necesario la adicin de una resistencia de carga entre su terminal de salida y la entrada de voltaje, con esta resistencia el sensor manda 5 Volts cuando no hay presencia de un campo magntico y 0 Volts cuando frente a su regin activa se coloca un campo magntico. La regin activa del sensor se sita frente a un imn adherido a la parte superior del rotor del motor para obtener un cambio en la salida del sensor por cada giro del motor, posteriormente con la tarjeta de adquisicin de datos usb-6212 y utilizando el programa LabVIEW se midi el nmero de veces que el sensor de efecto hall cambio su valor en un minuto; obteniendo las revoluciones por minuto que produca el motor. La figura 2.8 muestra la implementacin del sensor de efecto hall. Posteriormente se realiz la conversin de revoluciones por minuto a radianes por segundo. Sabiendo que 2 1 rpm = 60 se obtiene que 1 rads = 0.10472 rpm .

Figura 2.8. Implementacin del sensor de efecto hall Para la implementacin del programa en LabVIEW (vase anexo A) es necesario crear un nuevo instrumento virtual por medio de la ventana principal oprimiendo las teclas Ctrl+N. Dentro de la librera Express del diagrama a bloques se coloca uno nuevo, denominado DAQ Assistant, el cual se encuentra en la sub librera de entradas (input). Configurando una entrada analgica para la medicin del sensor de efecto hall, con un rango de voltajes que vara de 5V mximo a 0V mnimo, siendo estos los valores esperados en 20

las mediciones del sensor. Debido a que las mediciones de los valores del sensor deben de ser continuos e infinitos dentro de la tarjeta de adquisicin de datos, es necesaria la seleccin de estos parmetros dentro de la ventana de configuracin la cual aparece despus de seleccionar el tipo de entrada y el canal o entrada de la tarjeta que se desea utilizar. Despus de especificar los rangos y tiempos de muestreo del bloque DAQ Assistant, se oprime introducir (enter) en la ventana. Posteriormente se crea el programa para realizar el registro de las revoluciones por minuto del motor por medio de una condicin de comparacin y una variable local, que se encarga de realizar una suma en cadena de los valores de las revoluciones por minuto (rpm) cada vez que el valor de la entrada de la DAQ sea menor a 1V el programa registra este cambi guardando el resultado en la variable local creada, la Figura 2.9 muestra el programa en LabVIEW del tacmetro, en el lado izquierdo se observa el diagrama a bloques y el lado derecho representa el panel frontal.

Figura 2.9. Programa en LabVIEW del tacmetro. Despus de haber obtenido la velocidad angular, con la ecuacin del motor en estado estacionario se sabe que: = (ec. 2.45) Donde: =Voltaje en las terminales del motor. =Voltaje inducido. = Resistencia de armadura. =Corriente de armadura. El voltaje inducido del motor tambin puede ser representado por la ecuacin = (ec. 2.46) 21

Donde: = Constante de la fuerza de la FCEM. = Velocidad angular. Sustituyendo la ecuacin (2.46) en la ecuacin (2.45) y Despejando se obtiene: = (ec. 2.47) Realizando el clculo de los valores de y para distintos valores de voltaje de entrada conectando el motor sin carga se obtuvo el valor de , promediando las mediciones (vase anexo B). = 0.0366311912 . 2.1.7.4 Constante del par electromagntico (ki) Utilizando la relacin de la ecuacin 2.44 y expresando en sus respectivas unidades: = 0.0366311912 . 2.1.7.5 Coeficiente de friccin viscosa (B) Para la obtencin del coeficiente de friccin viscosa del motor, es necesario utilizar la ecuacin diferencial del motor: () + () =

(ec. 2.48)

Donde = es el torque inducido en el motor. Alimentando al motor con un voltaje constante durante cierto tiempo, hasta que llegue a una velocidad constante, por lo que la aceleracin angular desaparece obteniendo directamente la relacin del coeficiente de friccin viscosa. Eliminando el trmino de la derivada de la velocidad angular y despejando la friccin: =

(ec. 2.49)

= 0.00000513907 N.m.s/rad 2.1.7.6 Momento de inercia equivalente (J) Utilizando la ecuacin (2.48) y resolviendo la ecuacin diferencial es posible encontrar el valor del momento de inercia. 22

Sabiendo que la solucin de esta ecuacin diferencial puede ser encontrada como la suma de una solucin particular ms una respuesta transitoria. = + (ec. 2.50) Para encontrar la solucin particular de la ecuacin, el trmino derivativo de la ecuacin desaparece con lo cual la solucin particular puede ser calculada directamente como: = =

(ec. 2.51)

Una vez obtenida la solucin particular del sistema es necesario calcular la respuesta transitoria, para ello se realiza la igualdad de = , sustituyendo e igualando a cero: + = 0

(ec. 2.52)

Realizando la derivada de en el primer trmino de la ecuacin y reordenando: ( + ) = 0 (ec. 2.53) Para conocer el valor de la variable en la exponencial se realiza el clculo de la ecuacin anterior para un tiempo igual a cero, siendo el valor de la exponencial igual a uno. Despejando se encuentra su valor como: = (ec. 2.54) Obtenido el valor de la constante de tiempo en la exponencial la respuesta transitoria est dada por. =

(ec. 2.55)

Sumando la respuesta particular y la respuesta transitoria () =


(1 )

(ec. 2.56) 23

Donde la constante de tiempo de esta ecuacin es igual a = . Esta constante es conocida como la constante mecnica del motor la cual por medio de experimentacin se calcul como un tercio del tiempo transcurrido entre la desconexin del motor sin carga a una velocidad constante y su frenado. Para conocer el tiempo que transcurra entre la desconexin y el frenado del motor se utiliz la tarjeta usb-6212, mediante una entrada analgica y dos salidas digitales de la tarjeta se implement un programa por el cual se mantena al motor girando a una velocidad constante para posteriormente deshabilitarle el voltaje y utilizando una entrada analgica de voltaje medir el tiempo transcurrido. Debido a que la frecuencia de muestreo de la tarjeta es de 250 (mediciones por segundo) y que la resolucin del convertidor analgico a digital es de 16 bits, esta tarjeta es apropiada para conocer muy precisamente el tiempo de frenado del motor. Por medio de un circuito integrado L293D[27] especial para el manejo de motores, conocido como driver, se pueden manejar voltajes de hasta 32V y corrientes de hasta 1A. Por lo que es factible para la etapa de potencia de este motor en particular, otro aspecto fundamental por el cual se seleccion este circuito integrado es debido al aislamiento que proporciona entre el motor y la tarjeta de adquisicin de datos por medio de sus diodos de proteccin integrados y el arreglo de transistores que tiene, la probabilidad de tener una corriente en sentido contrario, se reduce en gran medida obteniendo un aislamiento en la tarjeta y un correcto manejo de la potencia del motor. La figura 2.10 muestra el diagrama elctrico de las conexiones de la tarjeta de adquisicin de datos con el circuito integrado. Para la manipulacin del motor, es necesario el uso de dos fuentes de alimentacin, una de 24V y otra de 5V. La primera fuente debe ser variable para poder realizar las mediciones de los parmetros a diferentes valores de entrada de voltaje mientras que la segunda fuente siempre debe ser de 5V ya que es la encargada de alimentar los circuitos lgicos y los diodos de habilitacin del circuito. Las dos salidas digitales de la DAQ son conectadas a los pines de entrada 1A y 2A del circuito integrado, mientras que las salidas 1Y y 2Y son conectadas directamente al motor. Debido a esto al mandar las seales lgicas desde el programa LabVIEW se permite el manejo del sentido del giro del motor. Para la medicin del tiempo de frenado, el motor es conectado directamente a la entrada de la DAQ sabiendo que no se puede provocar un dao en la tarjeta con el ingreso de este voltaje.

24

Figura 2.10 Circuito electrnico en MULTISIM. Por medio del programa MULTISIM 11.0[28] de la compaa National Instruments se realiz el diagrama del circuito electrnico a emplear en la medicin del momento de inercia. Teniendo los circuitos para el manejo del motor, por medio del programa LabVIEW se puede utilizar la DAQ para obtener todos los valores requeridos de voltaje. Primero era necesario mandar el voltaje adecuado para la entrada digital del circuito integrado L293D, el cual debe ser superior a 2.3 volts. Mediante una salida digital de la DAQ es posible generar los valores deseados, primero con un botn se selecciona el sentido de giro del motor, este botn debe ser agregado en el panel frontal del programa y posteriormente conectarlo hacia una funcin de seleccin (select) la cual se encarga de elegir entre un valor de entrada u otro, esta instruccin comnmente es conocida en un lenguaje tradicional de programacin como if. Los valores son de 1 y 10 respectivamente debido a que con esto se cumple el flujo de corriente para el motor teniendo una conexin a tierra en cada una de las condiciones de giro del motor. Es necesario agregar la funcin de creacin de arreglo (build array) para que el bloque DAQ Assistant pueda procesar esta entrada y no exista un error entre el tipo de dato de entrada y de salida. Un botn debe ser agregado para detener el motor por medio de la inhabilitacin del voltaje de armadura, este botn va conectado a una funcin select la cual se conecta al DAQ Assistant de salida. Posteriormente se coloca todo en un ciclo de ejecucin consecutiva (while) encargado de mantener al motor funcionando hasta que se desee realizar su detencin. Para que la medicin del voltaje sea despus de su detencin, se coloca un ciclo de secuencia (Flat Secuence Structure) el cual genera la ejecucin consecutiva del programa. La Figura 2.11 muestra el diagrama a bloques del programa en LabVIEW.

25

Figura 2.11 Diagrama a bloques del programa en LabVIEW. Realizando diez mediciones y obteniendo un promedio de las mismas se obtuvo un tiempo de frenado del motor de 300 ms. Con esto en la ecuacin = , sustituyendo los valores de la constante de tiempo , y la constante de friccin equivalente a un tercio de la constante mecnica del motor se obtiene el momento de inercia del motor como: = = (0.1 s) (0.00000513907 N.m.s/rad) (ec. 2.57) =0.000000513907 Kg.m2 2.1.8 Linealizacin del modelo matemtico La mayora de las tcnicas para sistemas de control realimentados se basan en las relaciones existentes para modelos matemticos lineales. Esto se debe a que en la mayor parte de los sistemas de control realimentados el valor de la salida se mantiene igual a un valor de referencia y las variaciones son analizadas en variaciones pequeas. Para la obtencin del modelo del motor se hicieron varias suposiciones a fin de obtener una ecuacin diferencial de parmetros constantes, lineal no homognea. Las principales suposiciones fueron que el tiempo muerto del motor era despreciable en virtud de que se trata de un motor muy pequeo, as mismo se ignor cualquier efecto por histresis o incluso variaciones debidas a las imperfecciones del campo magntico y por ltimo se hizo la suposicin de una corriente de campo siempre constante. La demostracin de la linealidad del modelo aqu manejado est explcitamente desarrollada en algunos trabajos recepcionales[29].

26

2.1.9 Funcin de transferencia Realizando la transformada de Laplace[30] a las ecuaciones del modelo matemtico del motor de CD, tomando en cuenta las condiciones iniciales cero se obtiene: () = () (ec. 2.58) Si se aplica la relacin = () = () (ec. 2.59) () = () (ec. 2.60) () = () + () + () (ec. 2.61) () = () + () + () (ec. 2.62) () = () (ec. 2.63)

Obteniendo la funcin de transferencia de (2.61) y (2.62), donde las entradas son () () y () () mientras que las salidas son y () respectivamente: () 1 = () () + () 1 = () () + (ec. 2.64)

(ec. 2.65) Sustituyendo (2.60) en (2.64) y posteriormente (2.59) en (2.65) utilizando la igualdad de (2.63), se definen las funciones de transferencia para cada entrada como: () 1 = () () + (ec. 2.66) 27

() 1 = () () + (ec. 2.67) Es posible relacionar la salida de (2.66) con la entrada (2.67) siendo posible la construccin de un diagrama a bloques con la entrada () y la salida () . La figura 2.12 muestra el diagrama a bloques obtenido. () ()
+

1 +

()

()
+

1 +

()

() Figura 2.12. Diagrama a bloques del motor de CD. Por medio de reduccin de bloques es posible expresar las funciones de transferencia del motor como la suma de ellas por su respectiva entrada, definiendo la salida . 5.94x106 s 1.946x106 s 3.833x109 + 2 + 1980 + 2.373x105 2 + 1980 + 2.373x105 (ec. 2.68) 2.1.10 Ecuacin de espacio estado Utilizando la representacin de un modelo matemtico en una ecuacin de estado es posible realizar un anlisis del mismo utilizando tcnicas de control moderno, obteniendo la ventaja de que en este forma vectorial es posible tener la representacin de sistemas MIMO. La caracterstica descrita anteriormente es una ventaja que tiene la ecuacin de estado con respecto de la funcin de transferencia ya que en la funcin de transferencia el manejo de estos sistemas se vuelve muy complejo. Los elementos principales de una ecuacin de espacio estado son las variables de estado y el vector de estado. Las variables de estado de un sistema dinmico son las que forman el conjunto ms pequeo de variables que determinan el estado del sistema dinmico[31]. Para las ecuaciones de espacio estado las variables involucradas son: las variables de entrada, variables de salida y variables de estado.

28

Un sistema MIMO en su representacin de espacio estado puede ser representado de la siguiente forma:
1 ()

f1 ( x1 , x2 ..., xnu1; u2 ,..., ur ; t )


] ( ) = [

() = [

. ()

2 ()

f 2( x1, x2 ..., xnu1; u2 ,..., ur ; t )


.

f n ( x1, x2 ..., xnu1; u2 ,..., ur ; t ) ]


(ec. 2.69)

1 () 2 () () = [ ] ( ) = . ()

g1 ( x1, x2 ..., xnu1; u2 ,..., ur ; t ) g 2( x1 , x2 ..., xnu1; u2 ,..., ur ; t )


. [ gm ( x1 , x2 ..., xnu1; u2 ,..., ur ; t ) ]

1 () 2 () , () = [ ] . ()

(ec. 2.70) Donde la ecuacin de entrada () representa a las variables de estado relacionadas con las variables de entrada , y la ecuacin de salida () se obtiene por la vinculacin de las variables de salida con las variables de estado. Las ecuaciones anteriores pueden ser representadas como: = ( ) (ec. 2.71) = ( ) (ec. 2.72) En donde (2.71) es la ecuacin de estado y (2.72) la ecuacin de la salida. Si las funciones vectoriales y involucran explcitamente el tiempo , el sistema se denomina sistema variante con el tiempo, sin embargo en este trabajo todos los parmetros han sido considerados invariantes en el tiempo. Por lo tanto las ecuaciones 2.71 y 2.72 quedaran como: = () + () = () + () (ec. 2.73) Utilizando las ecuaciones diferenciales que describen al modelo matemtico del motor de CD, tomando como nica salida y definiendo como variables de estado a la corriente de armadura y la velocidad angular se obtiene lo siguiente:
1

= 2 =

= = 2

Y por simple sustitucin en las ecuaciones (2.37) y (2.38).

29

+ = 1

(ec. 2.74)
1

= 2 +

+ (ec. 2.75)

Despejando el valor de 1 y 2 . 1 =
1

1 (ec. 2.76)

1 (ec. 2.77)

Realizando la organizacin matricial (2.73) de los valores de las variables de entrada. Donde es el vector de entradas = + . [ 1] = 2 [ * + ] 1 0 0 1 (ec. 2.78) Al conocer que la salida del sistema es la velocidad angular del motor , la ecuacin de salida se define como: = [2 ] = [0 1] 2.1.11 Modelado en Simulink MATLAB (abreviatura de MATrix LABoratory, "laboratorio de matrices") es un programa matemtico para computadora que ofrece un entorno de desarrollo integrado (IDE) con un lenguaje de programacin propio (lenguaje M). Entre sus prestaciones bsicas se hallan: la manipulacin de matrices, la representacin de datos y funciones, la implementacin de algoritmos, la creacin de interfaces de usuario (GUI) y la comunicacin con programas en otros lenguajes y con otros dispositivos externos. MATLAB integra anlisis numrico, clculo matricial, proceso de seal y visualizacin grfica en un entorno completo donde los problemas y sus soluciones son expresados del mismo modo en que se escribiran tradicionalmente, sin necesidad de hacer uso de la programacin tradicional. 30 + [0 0] (ec. 2.79)

El paquete MATLAB dispone de dos herramientas adicionales que expanden sus prestaciones, Simulink (plataforma de simulacin multidominio utilizada para analizar, modelar y simular la dinmica de sistemas no lineales) y GUIDE (editor de interfaces de usuario)[32]. La librera Simulink fue la elegida para realizar el anlisis y diseo del motor de CD debido a su capacidad de poder trabajar con modelos no lineales, teniendo tambin la posibilidad de adaptar o crear subsistemas, mediante los cuales se pueden utilizar herramientas de simulacin y algoritmos de diseo que ofrecen una gran capacidad de diseo e integracin. Para acceder a la librera de Simulink desde la ventana de comando de MATLAB (MATLAB Command Window) se ejecuta el comando Simulink o se puede presionar el botn izquierdo del apuntador de la computadora (se da un click izquierdo) sobre el cono correspondiente en la barra de herramientas.

Dentro de la librera de Simulink para la creacin de un nuevo modelo es necesario dar click izquierdo dentro de la librera principal en la ruta File New Model. Otra forma es presionando directamente del teclado Crtl+N. Para realizar el modelo en Simulink, previamente se debe obtener la representacin matemtica del sistema a crear. Si se tiene el diagrama de bloques del sistema se simplifica ms la implementacin. Dentro de la librera Simulink se encuentran los bloques necesarios para simular un sistema mediante tcnicas convencionales, las dems libreras son herramientas adicionales que se utilizan para aplicaciones especficas de control avanzado. En la Figura 2.13 se muestra el contenido de la librera Simulink.

Figura 2.13. Libreras de Simulink. 31

Para realizar la simulacin en Simulink, es necesaria la representacin de las ecuaciones del motor utilizando un diagrama a bloques (vase anexo C). Dentro de la librera ContinuousTransfer Fcn se insertan dos bloques dentro del nuevo proyecto, arrastrndolos a la ventana del nuevo proyecto. Para asignar los valores de las funciones de transferencia se da doble click5 izquierdo sobre cada bloque de funcin de transferencia. Dentro una ventana abierta semejante a la figura 2.14 se modifica el valor del numerador y denominador por los deseados.

Figura 2.14. Parmetros de una funcin de transferencia. Para obtener los valores de la constante se colocan dos bloques de ganancia ubicados en Math Operations Gain, posteriormente dando doble click izquierdo se modifica el valor del bloque Gain por . Se necesitan dos bloques de suma para las dos operaciones que se realizan, dentro de Math OperationsSum. Debido a que los valores iniciales de los signos de la suma son positivos es necesario dar doble click izquierdo en cada bloque y dentro de la ventana de parmetros en el apartado List of signs modificar uno de los signos positivos por un negativo. Para ingresar los valores de la entrada de voltaje y el par de carga se colocan dos bloques de entrada de SourcesIn1. Para medir el valor de se coloca un bloque de salida de SinksOut1, otro valor que es importante conocer es la salida de torque, el cual vara dependiendo del par de carga que tiene, siendo necesario agregar otro bloque de salida. Para ingresar etiquetas dentro del diagrama de bloques para un mejor entendimiento, se da un click izquierdo donde se quiera colocar la etiqueta para escribir lo que se
5

Dos pulsaciones el botn del dispositivo apuntador de la computadora ( mouse o ratn).

32

desee. Conectando todos los bloques ingresados por medio de un click derecho entre la salida y entrada de cada bloque manteniendo el click hasta conectar los bloques deseados. La figura 2.15 muestra el diagrama a bloques en Simulink.

Figura 2.15. Diagrama bloques en Simulink. Seleccionando todos los bloques obtenidos y con un click izquierdo en la opcin create subsystem se crea un solo bloque con las entradas y salidas del sistema, para las constantes del motor dando click derecho en la opcin mask parameters, dentro del apartado parameters se pueden asignar los parmetros de las constantes y una descripcin de los mismos, la figura 2.16 muestra los parmetros del motor.

Figura 2.16. Parmetros del motor de CD. Finalizando los valores de los parmetros los cuales coinciden con los valores del diagrama a bloques, se aplican los valores y se cierra la ventana. Dando doble click izquierdo dentro del bloque del subsistema se pueden ingresar los valores de los parmetros o modificarlos si as se desea. Para poder realizar la simulacin dentro de la librera Sources se necesita colocar un bloque step encargado de la entrada de voltaje y un bloque constant el cual produce el valor del par de carga. Dentro de las propiedades del bloque de step modificando el 33

valor final value por el de la entrada de voltaje deseada dentro de los lmites de 0 a 24V. El valor del par de carga se designa como cero debido a que primero se desea analizar el comportamiento del motor sin la presencia de esta entrada. Para poder apreciar las salidas del sistema de la librera Sinks Scope se arrastran dos bloques. Para obtener las revoluciones por minuto del motor (RPM) se necesita convertir el valor de , colocando un bloque de ganancia a la salida de , modificando su valor por 60(2 ) y conectando el resultado a otro scope. En la figura 2.17 se observa el diagrama final en Simulink.

Figura 2.17. Motor de CD en Simulink. Para realizar la simulacin del sistema se modifica el valor del tiempo de simulacin en dos segundos para poder observar la respuesta del sistema en un tiempo ms pequeo. La figura 2.18 muestra los valores de torque, y RPM para un valor de entrada de voltaje de 24V.

Figura 2.18. Simulacin en Simulink.

34

2.1.12 Validacin del modelo en Simulink Para una entrada de voltaje de 8 volts en los clculos matemticos se obtuvo un valor de 200.264 rads mientras que el valor obtenido en el tacmetro implementado en LabVIEW fue de 198.33968 rads. En la figura 2.19 se puede observar el resultado de la simulacin en Simulink para esta entrada de voltaje.

Figura 2.19. Valor de en Simulink. Los resultados demuestran que es posible analizar el comportamiento del motor con el uso de MATLAB para despus implementar la accin de control deseada en el motor real tomando en cuenta que la variacin entre la medicin real, los clculos matemticos y la simulacin no varan en gran medida. 2.2 Descripcin y modelado del pndulo Para obtener el pndulo a utilizar en el modelo final se realizaron pruebas variando la forma y proporciones del pndulo, as como la manera en la cual estuviese colocado en un eje para un movimiento libre. El primer prototipo diseado fue un pndulo obtenido de la unin de un balero a una varilla de metal. La figura 2.20 el diseo del pndulo con un balero.

Figura 2.20. Unin de la varilla metlica con el balero. 35

El balero que fue utilizado para esta prueba era de un tamao pequeo para evitar que fuera muy pesado y no sobrecargar al motor de CD. Las varillas metlicas soldadas en la parte frontal del balero fueron hechas para poder servir de soporte para un sensor giroscpico o un acelermetro, ya que al estar en la misma posicin y siendo directamente afectado por los movimientos en el pndulo se obtendra directamente la medicin de los cambios en el ngulo del pndulo. Para el centro de giro se dise una barra de aluminio con un grosor milimtricamente ms pequeo que el radio del balero, teniendo la posibilidad de colocar a esta barra dentro del carro gua que utiliza la banda de la impresora obtenida para el transporte de los cartuchos de tinta. Despus de tener finalizado este prototipo los resultados al momento de realizar las primeras pruebas no fueron satisfactorios, teniendo la problemtica de que el peso del balero reduca la inercia del pndulo al provocar friccin sobre la barra; por lo cual la friccin generada no poda ser despreciada; de ser tomada en cuenta dicha friccin aumentara la complejidad del sistema. Tomando la idea de un mecanismo como el balero se busc la forma de encontrar algo similar que ya estuviera implementado y adaptarlo en la barra de aluminio que ya estaba fijada al carro gua. Por lo que se seleccion el dispositivo giratorio de un disco duro (de computadora personal) reciclado; as fue posible obtener una pieza de diseo mecnico que permite los giros con friccin despreciable. En la figura 2.21 se puede apreciar la parte que se extrajo del disco duro unida a una varilla metlica. Utilizando una broca de fino diseo se hizo una perforacin en el centro de la barra de aluminio, un poco ms grande que el eje, de manera que este pudiera entrar sin provocarle una friccin.

Figura 2.21. Pndulo con el eje de un disco duro. Por medio de un taladro y una mquina especial se realiz una perforacin sobre la superficie circular, sta fue realizada utilizando una fresadora puesto que se pretende que sea un prototipo para pruebas con diferentes tipos de pndulo. La varilla metlica que se dise para el pndulo, fue acondicionada con un contrapeso en el extremo, til para aumentar la inercia del pndulo. 36

Los resultados producidos por este diseo en particular fueron exitosos debido a que la inercia producida por la barra de aluminio y el contra peso eran suficientes para despreciar la friccin producida entre el centro de giro y el pndulo, obteniendo el objetivo principal del diseo mecnico. 2.2.1 Velocidad y aceleracin angular La velocidad angular la cual es vista como una medida de la velocidad de rotacin, se encuentra presente en la barra del pndulo. Considerando que los ngulos en movimientos rotacionales deben ser medidos en radianes, vase la figura 2.22[33]. Se puede apreciar que el ngulo llamado posicin angular vara con respecto del eje x.
y

s=r x

Figura 2.22. Descripcin del ngulo . Si se desea conocer la diferencia entre un ngulo inicial y final, esta diferencia es denominada desplazamiento angular, descrito por = (ec. 2.80) Por lo que la velocidad angular quedara definida: = lim = 0 (ec. 2.81) Sabiendo que la aceleracin angular es el cambio de la velocidad angular en un intervalo de tiempo, la aceleracin angular es expresada como: = lim = 0

(ec. 2.82)

2.2.2 Aceleracin centrpeta La aceleracin centrpeta representa el cambio de direccin de la velocidad de una partcula en movimiento cuando recorre una trayectoria curvilnea. Esta aceleracin no tiene la misma direccin que la velocidad angular. Por lo que la aceleracin centrpeta se dirige radialmente. Como se puede apreciar en la figura 2.23. 37

La magnitud de la aceleracin centrpeta puede describirse en base a los pequeos tringulos de la figura 2.23. Los dos tringulos son similares, ya que cada uno tiene dos lados iguales que rodean el ngulo . (Los vectores de velocidad tienen la misma magnitud). Por lo tanto es a como es a r[34].

Figura 2.23 Anlisis de la aceleracin centrpeta. Por lo que puede describirse como:

(ec. 2.83)

La longitud del arco es la distancia recorrida en un tiempo ; por lo que = , pudindose desarrollar: = (ec. 2.84) 2 = (ec. 2.85) Sabiendo que la aceleracin centrpeta refleja el cambio de aceleracin centrpeta es definida: = 2 velocidades, la

(ec. 2.86)

Conociendo la relacin de la velocidad tangencial con la velocidad angular y utilizando la frmula de la velocidad tangencial =r. Sustituyendo esta relacin en la ecuacin (2.86). Se obtiene la frmula de la aceleracin centrpeta. = 2.2.3 Fuerza de friccin esttica La friccin esttica se presenta como una resistencia al movimiento de un objeto que se encuentra en reposo, para vencer a esta fuerza (inercia) se requiere incrementar la magnitud de la fuerza para romper esta resistencia al movimiento. 38 2 ()2 = = 2

(ec. 2.87)

La friccin esttica se define como: = Donde: (ec. 2.88)

: Coeficiente de friccin esttico (sin unidad al ser un coeficiente de fuerzas). : Fuerza normal (N). La friccin esttica se genera entre el carro gua y la superficie metlica que lo sostiene, por lo cual es importante considerar esta fuerza ya que se encuentra presente al realizar un cambio de posicin en el carro gua; el valor de la fuerza de friccin esttica es mayor que el valor de la friccin dinmica, por lo que su valor representa una buena referencia. 2.2.4 Inercia El momento de inercia: de un cuerpo rgido extendido puede ser visto como si el objeto est dividido en numerosos pequeos elementos de volumen, cada uno de los cuales tiene masa . Utilizando la definicin = 2 y tomando el lmite de esta suma como 0[35]. El momento de inercia puede ser expresado en forma general as: = lim 2 = 2
0

(ec. 2.89) Para el caso del pndulo (donde la masa de la varilla es despreciable y la masa total se considera concentrada en un extremo a una distancia r del centro de giro) quedara como: = 1 2 3

(ec. 2.90)

El clculo del momento de inercia es realizado para un centro de giro localizado en el centro del cuerpo. 2.2.5 Modelado del pndulo invertido montado en el carro El pndulo invertido al ser un sistema dinmico rotacional es regido por las ecuaciones de movimiento rotacional, mientras que el carro gua es regido por las ecuaciones de movimiento traslacional. En la figura 2.24 se pueden apreciar los diagramas de cuerpo libre del pndulo y del carro. Siendo las lneas punteadas las componentes de la aceleracin dirigida hacia el 39

centro de masa, mientras que las flechas son las componentes de fuerza. En la figura 2.24 (b) pueden ser observadas las componentes dinmicas del pndulo, marcadas por las lneas punteadas. Donde I 2 es la aceleracin centrpeta producida por el pndulo. La componente es la consecuencia de la aceleracin generada por el movimiento del carro gua, mientras que es el resultado de la aceleracin angular del pndulo, siendo siempre descrita perpendicular al mismo.
P N r F F N

P F (a)

(b)

(c)

Figura 2.24 Diagrama de cuerpo libre. Estos resultados pueden ser confirmados expresando el centro de masa del pndulo como un vector desde una referencia de inercia y despus derivando el vector dos veces para obtener la aceleracin[36]. La figura 2.24(c) muestra los ejes que conjuntamente con el vector describen la posicin del pndulo con respecto a su centro de masa. Este vector puede ser descrito como: = + ( ) (ec. 2.91) La primera derivada de r es: = + ( + ) (ec. 2.92) Obteniendo la segunda derivada de r: = + ( + ) 2 ( ) (ec. 2.93) Aplicando la segunda ley de Newton ( = ) para el carro que contiene al pndulo invertido y realizando la suma de fuerzas con respecto al eje x se obtiene: + = (ec. 2.94)

40

La ecuacin que describe el movimiento del pndulo queda expresada como: = Donde = + () 2 () (ver ecuacin 2.93) = ( + 2 = + 2 (ec. 2.96) (ec. 2.97) Aplicando la = , sobre una lnea perpendicular al radio de giro (es decir perpendicular a la varilla): + = ( + ) + = + (ec. 2.98) (ec. 2.99) Por otro lado, utilizando la frmula de la ley de Newton para sistemas rotacionales unidimensionales la cual es descrita como: = (ec. 2.100) Donde: M= La suma de todos los momentos externos alrededor del centro de masa de un cuerpo (N.m) I= Momento de inercia del cuerpo alrededor de su centro de masa (kg.m2). = Aceleracin angular del cuerpo (radianes/segundo2). Usando la ecuacin (2.100) en (2.99) se obtiene: = (ec. 2.101) Donde: I= Momento de inercia del centro de masa del pndulo N y P= Fuerzas de reaccin del pndulo Manipulando las ecuaciones obtenidas es posible eliminar las reacciones de las fuerzas N y P, obteniendo as una sola ecuacin la cual describe el movimiento del pndulo. (ec. 2.95)

41

Combinando las ecuaciones (2.99) y (2.101) se obtiene: ( + 2 ) + = (ec. 2.102) Sustituyendo la ecuacin (2.97) en (2.94). La fuerza N puede ser eliminada por lo que: ( + ) + = (ec. 2.103) Las dos anteriores ecuaciones describen el movimiento de un pndulo simple en su forma no lineal, despreciando la friccin del pndulo con su centro de eje (la friccin entre el eje y la barra de aluminio), ms adelante estas ecuaciones se adaptan al pndulo invertido. 2.2.6 Medicin de los parmetros del pndulo Despus de haber obtenido las ecuaciones del pndulo es necesario determinar los parmetros del mismo, as como los parmetros del carro que contiene al pndulo. 2.2.6.1 Masa del pndulo (mp) Para encontrar los valores de masa de los componentes del pndulo se utiliz una bscula granataria utilizadas por su precisin y lo confiables que son sus mediciones. En el laboratorio de la facultad de Fsica de la Universidad Veracruzana se cuenta con bsculas granatarias, una de ellas fue facilitada por los compaeros de dicha facultad. Obteniendo como resultado de la masa del pndulo: = 0.0322 . 2.2.6.2 Masa del carro (mc) Al tener la posibilidad de desmontar al carro gua de la banda de la impresora fue posible medir el peso del carro, obteniendo un peso total: = 0.1605 . 2.2.6.3 Distancia al centroide del pndulo (l) Realizando el clculo del centroide considerando que las coordenadas del centro de masa de un objeto que consiste en una combinacin de partes, puede determinarse si los centros de masa son conocidos[37]. Aplicando la relacin siguiente es posible determinar el valor del centroide: = 1 1 + 2 2 1 + 2 (ec. 2.104) 42

Donde: = Centroide (m). 1 = Centroide del objeto uno (m). 2 = + 2 =Centroide del objeto dos (m). 1 =Masa del objeto uno (Kg). 2 =Masa del objeto dos (Kg). La figura 2.25 ilustra la forma en la cual se midieron las componentes del centroide.
1 1 2 2

Figura 2.25. Medicin del centroide. Utilizando los valores del pndulo compuesto de la figura 2.25, asignando como objeto uno a la barra metlica y como objeto dos a la esfera metlica: = 0.06(0.0100) + 0.125729(0.0220) 0.0220 + 0.0100 (ec. 2.105) = = 0.105 2.2.6.4 Momento de inercia del pndulo (I) Para calcular el momento de inercia del pndulo es necesario modificar la ecuacin (2.90) debido a que est ecuacin no considera la relacin entre la masa de la barra y la masa de la esfera; no despreciable para este prototipo. Mediante el teorema de los ejes paralelos es posible determinar el momento de inercia para la barra adicionada con la esfera en su extremo. Utilizando el clculo de los centroides obtenidos anteriormente se determina el momento de inercia de cada objeto para al final realizar una suma de ellos. = 1 2 2 1 + 1 ( ) 1 12

2 2 = 2 2 + 2 ( ) 2 5

(ec. 2.106) (ec. 2.107)

Sustituyendo los valores conocidos y medidos. 43

1 (0.12)2 (0.01) + (0.01)(0.105 0.06)2 12 = 0.0003225 Kg. 2

(ec. 2.108)

2 = (0.022)(0.057)2 + (0.022)(0.105 0.125)2 5 (ec. 2.109) = 0.0000373912 . 2 = + (ec. 2.110) = 0.0003598912 . 2 Se observa que este valor es diferente al calculado con la forma de la ecuacin (2.90). = 1 2 = 0.00048778 . 2 3

Se obtiene un valor de mayor precisin con el clculo antes descrito que es el que se usara. 2.2.6.5 Friccin esttica del carro (b) Utilizando un sensor de fuerza modelo CI-6537[38] de la compaa PASCO facilitado por la facultad de Fsica de la Universidad Veracruzana se midi la fuerza mnima necesaria para mover al carro obteniendo con el resultado de la medicin un valor equivalente al coeficiente de friccin esttico presente entre el carro gua y la superficie de aluminio que lo contiene. Conectando el sensor de fuerza en una de las entradas del mdulo Science Workshop de la misma compaa y mediante la interfaz DataStudio[39] fue posible observar el valor de fuerza que se necesitaba medir, considerando que el sensor tiene un rango de 50 para medicin no existi problema alguno en la medicin dicha fuerza. La figura 2.26 muestra la conexin del sensor para la medicin de la friccin. Obteniendo como resultado de la medicin:

Figura 2.26. Conexin del sensor de fuerza. = 3.20 Nms 44

2.2.7 Linealizacin del modelo y adaptacin del pndulo invertido Conociendo las ecuaciones que modelan al sistema y asumiendo que cuando el pndulo est en su estado vertical de equilibrio (inestable) , las modificaciones en el ngulo son expresadas como = + donde ' representa un cambio pequeo del ngulo con respecto a la vertical. Y por tanto conociendo que cos -1 y (vase anexo D). Por lo tanto las ecuaciones linealizadas para movimientos con ngulos pequeos del pndulo son: = ( + 2 ) (ec. 2.111) = ( + ) + (ec. 2.112) 2.2.8 Funcin de transferencia Aplicando la transformada de Laplace a las ecuaciones (2.111) y (2.112) se obtiene: ( + 2 )() 2 () = () 2 (ec. 2.113) ( + ) () 2 + () () 2 = () (ec. 2.114) De la ecuacin (2.113) se despeja () obteniendo: () = ( + 2 )() 2 () ( + 2 ) = () [ 2] 2 (ec. 2.115) Sustituyendo la ecuacin anterior en la ecuacin (2.114) y ordenando los trminos semejantes: ( + 2 ) 2 ( + 2 ) () [( + ) [ ] + [ ] 2 ] = () (ec. 2.116) Expresando la funcin de transferencia y realizando unas operaciones algebraicas para una mejor presentacin se obtiene:

45

() = ()

( + 2 ) 2 ( + ) 3 + (ec. 2.117) = ( + )( + 2 ) 2 2

Donde: 2.2.9 Ecuacin de espacio estado Basndose en el modelo matemtico anterior (ecuaciones 2.111 y 2.112). Y designando como variables de estado el ngulo del pndulo, la velocidad angular y la velocidad del carro; es decir:
1

= 2 = 3 =

= 2 = 2 = 3 (ec. 2.118)

Las ecuaciones del pndulo en variables de estado serian: ( + 2 ) 2


1

= 3 (ec. 2.119)

( + ) 3 +

2 = (ec. 2.120)

Reordenando las ecuaciones anteriores entonces: 1 2 = =


2

(ec. 2.121) ( + )
1

(ec. 2.122)

2 2 = 3 Donde

( + 2 ) 1

( + 2 ) 3+

(ec. 2.123)

= ( + )( + 2 ) 2 2 = ( + ) + 2

Matricialmente: = [] = [] 46

0 0 ( + ) 0 [ ] = + 2 2 2 2 ( + ) ( + ) 0 [ ] [ ] (ec. 2.124) Sabiendo que la variable es la salida del sistema el vector de salida es definido por. = [1 ] = [1 0 0] + [0] (ec. 2.125) 2.3 Conexin entre el pndulo y el motor de CD Despus de haber obtenido los modelos matemticos del motor y el pndulo por separado es necesario relacionar la conexin que existe entre los mismos para poder definir una funcin de transferencia que tenga como entrada el voltaje del motor y como salida el ngulo del pndulo. La figura 2.27 ejemplifica un diagrama equivalente a la conexin entre el motor y el carro gua que contiene al pndulo.

r
Motor

Figura 2.27 Conexin entre el motor y el pndulo. Al girar el motor, la banda se mueve en el sentido de giro del motor y la fuerza puede obtenerse por medio del par mecnico del motor ( ). Es posible convertir esta fuerza mecnica rotacional a una componente de fuerza longitudinal relacionando el par mecnico del motor con el radio del mismo. =

(ec. 2.126)

Por lo tanto esta ser la conexin entre el motor, la banda y el pndulo. 47

2.3.1 Funcin de transferencia del motor de CD Utilizando el diagrama a bloques de la figura 2.15 es posible determinar la funcin que relaciona a las entradas (voltaje y par de carga) del sistema con el par mecnico del motor; por medio de dos funciones de transferencia. La primera relaciona al par mecnico con la entrada de voltaje tomando al par de carga como cero, mientras que la segunda asocia al par mecnico con el par de carga suponiendo el valor de la entrada de voltaje igual a cero. Realizando la suma de las dos funciones de transferencia es posible obtener la salida del sistema (recordar que el sistema ha sido linealizado). Mediante la reduccin de los diagramas a bloques para las dos entradas el par mecnico es definido como:
= 2.259x1010 2 + 4.472x107 + 4.45x106 7.4x1011 3 + 2.923x107 2 + 0.0003061 + 0.03459 + 8.275x1012 2 + 1.638x108 + 1.63x107 3.803x1017 4 + 1.506x1013 3 + 1.588x1010 2 + 1.935x108 + 1.778x107

(ec. 2.127) En Simulink se puede realizar una simulacin de las funciones de transferencia obtenidas para poder observar el par mecnico generado.

Figura 2.28. Conexin de las funciones de transferencia en Simulink. En la figura 2.28se observa las conexiones de las funciones de transferencia utilizando Simulink, la entrada de voltaje es de 24 V con un step time de cero, el par de carga es considerado sin valor para obtener el par mecnico generado por el motor sin someterlo a una carga. Para comprobar si las funciones de transferencia y la simulacin en Simulink son fiables es necesario definir el par de carga que genera el mdulo que contiene al pndulo para comprobar si la simulacin coincide con los valores medidos en el modelo real. Utilizando el sensor de fuerza CI-6537 se realiz la medicin de la fuerza necesaria para mover al carro. En figura 2.29 (a) se muestra la conexin que se realiz para la medicin de la fuerza.

48

(a)

(b)

Figura 2.29. Fotos del sensor de fuerza y de la interfaz DataStudio. Conociendo la fuerza que se requiere para el desplazamiento del carro sobre la banda en un centmetro es posible determinar la relacin que existe entre esta fuerza y el par de carga generado en el motor. Esta relacin es definida por: = (1 )(0.01) = (2.99 )(0.01 ) = 0.03 . (ec. 2.128) La figura 2.29 (b) muestra la interfaz DataStudio al momento de realizar la medicin de la fuerza. Utilizando el valor del par de carga obtenido y sustituyndolo en la entrada de esta constante en Simulink se puede realizar la simulacin del par mecnico generado, la figura 2.30 muestra los resultados de la simulacin.

Figura 2.30. Par mecnico generado en Simulink.

49

La fuerza total generada por el motor se obtiene al dividir el par mecnico generado en el estado estacionario despus del pico obtenido en el arranque del motor entre el radio del motor, la direccin de esta fuerza resultante tiene el mismo sentido que la direccin de giro del motor como se muestra en la figura 2.31. = 0.0306 . = = 6.1185 0.005

(ec. 2.129)

Para corroborar los resultados obtenidos se midi la fuerza obtenida en esta relacin por medio del sensor de PASCO, colocando al sensor de modo que al moverse el mdulo impulsado por el motor impact en el gancho detector se obtiene la fuerza resultante.

Figura 2.31. Medicin de la fuerza resultante. Al conocer la fuerza que el motor ejerce sobre el carro del pndulo, es posible relacionar el ngulo del pndulo con el voltaje de armadura, para ello solo es necesario despreciar el par de carga generado por el sistema banda-carro. Obteniendo la funcin de transferencia como sigue:
5.15 109 3 + 1.02 105 2 + 0.0001014 = 13 6 3.7 10 + 1.468 105 5 + 1.558 106 4 + 0.000202 3 + 0.00324 2 0.008215 0.1276

(ec. 2.130)

50

Capitulo III. Anlisis de la planta y propuesta del controlador


Obteniendo la representacin de la planta por medio del modelado matemtico se necesita realizar su anlisis para poder obtener las especificaciones de diseo deseadas con el uso de mtodos y herramientas de diseo del programa MATLAB para determinar el mejor controlador. 3.1 Respuesta al escaln unitario Para conocer el comportamiento de un sistema de control realimentado una de las formas ms utilizadas es mediante su evaluacin con respecto a seales de prueba, como pueden ser: una funcin escaln, funcin rampa o funcin impulso. Para el anlisis de esta problemtica en particular se opt por el uso de la respuesta del sistema al escaln unitario (step response)[40], est funcin comnmente denotada como u (t) es definida para un tiempo continuo como: () = , 0 1 < 0 0 (ec. 2.131) La representacin grfica de esta seal para un tiempo continuo es mostrada en la figura 3.1.
() 1 0

Figura 3.1. Escaln unitario en tiempo continuo. Al utilizar esta seal como valor de entrada, el objetivo es comprobar la estabilidad de la planta (sistema pndulo-banda-motor) debido a que la seal de entrada representa un cambio en la posicin del pndulo con respecto a la vertical la cual debe ser corregida. Utilizando MATLAB se puede determinar la respuesta del sistema a lazo abierto para una entrada de escaln unitario. Declarando la funcin de transferencia del apartado 2.3.1 utilizando nicamente como entrada de la funcin de transferencia al voltaje de armadura del motor. Dentro de la ventana de comando de MATLAB se declara la funcin de transferencia por medio de la funcin tf y se ejecuta la respuesta al escaln unitario con el uso de la funcin step.

51

>> num=[5.15e-009 1.02e-005 0.0001014 0]; >> den=[3.7e-013 1.468e-009 1.558e-006 0.000202 0.003224 -0.008215 -0.1276]; >>Gp=tf(num,den); >> step(Gp) Despus de ejecutar los comandos anteriores se puede observar la inestabilidad del sistema, lo cual coincide con el tipo de races de la planta que son: -1963. 0945 -1857. 2410 -128.2243 -19.1333 6.2726 -6.1465 La planta es inestable debido a que al menos uno de los polos se localiza en el lado positivo del eje real. La figura 3.2 ilustra el resultado de la respuesta con esta funcin de entrada.

Figura 3.2. Respuesta al escaln unitario del sistema. 3.2 Lugar geomtrico de las races Usando esta tcnica es posible conocer el desempeo de un sistema realimentado descrito en trminos de la localizacin de las races de la ecuacin caracterstica en el plano complejo. La idea es determinar todas las races posibles cuando un parmetro (generalmente la ganancia del controlador varia), la grfica que resulta al poner todas las races en el plano complejo nos da un panorama general del comportamiento dinmico del sistema. Por sus caractersticas mencionadas y la obtencin de resultados muy aproximados este mtodo fue seleccionado para realizar el anlisis de la funcin de transferencia de la planta. 52

3.2.1 Anlisis del Lugar Geomtrico de las Races con MATLAB Al tener conocimientos de la tcnica de diseo para el lugar geomtrico de las races es posible el uso del programa MATLAB para obtener una grfica muy precisa, la obtencin manual de la grfica de la funcin de transferencia de la ecuacin (2.130) es complicada debido al elevado orden de la funcin, por ello se utiliz MATLAB para su elaboracin. Para realizar el lugar geomtrico de las races en MATLAB es necesario declarar a la funcin de transferencia y por medio de la herramienta rltool obtener la grfica. Los comandos necesarios son los siguientes: >> num=[5.15e-009 1.02e-005 0.0001014 0]; >> den=[3.7e-013 1.468e-009 1.558e-006 0.000202 0.003224 -0.008215 -0.1276]; >>G=tf(num,den) >>rltool(G) Despus de la ejecucin de la herramienta rltool se abre una interfaz grfica la cual muestra la localizacin de los polos y ceros de la planta. Las lneas azules representan los polos y ceros del sistema de lazo cerrado (sistema controlado): La figura 3.3 representa la grfica del lugar geomtrico de las races de la planta.

Figura 3.3. Lugar geomtrico de las races. La configuracin del sistema de control ilustrada en la figura 3.4 (pndulo-carrobanda-motor conectado con un control proporcional en realimentacin) es la siguiente:

Figura 3.4. Arquitectura de control. 53

Donde: C=K para K=1, H= 1, F=1 y G es la funcin de transferencia. En la figura 3.3 es posible apreciar que existe un polo en el lado derecho del eje real provocando inestabilidad en el sistema, otra caracterstica peculiar es la existencia de un cero ubicado en el origen del plano. Este cero es importante considerarlo al realizar el diseo del controlador puesto que impide que la trayectoria seguida por el polo en el lado derecho se traslade al semiplano izquierdo, la figura 3.5 muestra un acercamiento en el origen de la grfica. En este acercamiento es posible observar la trayectoria del polo ubicado en el semiplano derecho.

Figura 3.5. Lugar geomtrico de las races cerca del origen. La funcin roots puede determinar la ubicacin exacta de los polos y ceros en MATLAB, obteniendo como resultado: Ceros = 0 -1970. 5909 -9.9916 Polos= -1963. 0945 -1857. 2410 -128.2243 -19.1333 6.2726 -6.1465 Los polos ubicados muy a la izquierda del plano (-1963.0945 y -1857.2410), y el cero posicionado en -1970.5909 no afectan en demasa a la respuesta transitoria del sistema por lo tanto, solo son considerados por sus efectos en la ubicacin del centroide y en el ngulo de las asntotas (mtodo del lugar geomtrico de las races).

54

Mediante la herramienta rltool es posible variar la ganancia de un controlador (compensador) que antecede a la planta y con ello modificar la ubicacin de los polos y ceros de la planta. Variando el valor de ganancia no es posible trasladar al polo localizado en el eje positivo hacia el eje real esto debido a que este polo sigue una trayectoria en direccin del cero ubicado en el cruce de los ejes; una propiedad del lugar geomtrico de las races indica que para la existencia de una trayectoria en una seccin del lugar de races se requiere que el nmero de polos y ceros a la derecha sea impar. Esta propiedad provoca que aunque la ganancia sea muy grande lo nico que se obtiene es un acercamiento al origen del polo ubicado en 6.27 pero realizando una trayectoria asntota sobre el mismo eje real positivo, lo cual produce un comportamiento inestable. En la figura 3.6 se puede apreciar el lugar geomtrico de las races para una ganancia de 1242, realizando un acercamiento cerca de la interseccin de los ejes y una grfica de la respuesta del sistema a un escaln unitario.

Figura 3.6. Lugar geomtrico de las races y respuesta al escaln unitario. Una alternativa para modificar las trayectorias del lugar geomtrico de las races es la adicin de polos y ceros con el uso del compensador o controlador. 3.3 Controlador PID Uno de los controladores ms utilizados en la industria y aplicaciones de control clsico es el controlador proporcional integral derivativo PID[41], este controlador se caracteriza por una salida u(t) relacionada con una seal de error e(t) mediante la expresin 1 () () = (() + () + )
0

(ec. 2.132) Donde u es la variable de control y es el error de control dado por = considerando que la diferencia de estas variables se encuentra en la resta o suma de realimentacin, siendo el valor deseado (set point) de la variable de , mientras muestra el valor que se tiene en la variable de salida. De esta manera la variable de 55

control es una suma de tres trminos: el trmino P, que es proporcional al error; el trmino I, que cambia con respecto a la integral del error; y el trmino D, que es equivalente a la derivada del error. Los parmetros del controlador son: la ganancia proporcional K, el tiempo integral y el tiempo derivativo . 3.3.1 Anlisis del controlador PID mediante el lugar Geomtrico de las races El controlador PID adiciona dos ceros y un integrador (un polo en cero): 1 2 + + () = () (ec. 2.133) Realizando un ajuste en la posicin de los ceros de este controlador se busca conseguir la estabilidad del sistema. La posicin de estos ceros afecta directamente al comportamiento del sistema, debido a que ahora dos de los polos de lazo cerrado tienden hacia los ceros agregados (de lazo abierto)[42]. Tomando en cuenta que la ganancia del controlador tambin produce un cambio es importante fijar los ceros primero y despus variar K. Por otro lado es importante considerar que la ganancia del controlador no debe ser muy grande ya que esto complicara la funcionalidad del controlador. Mediante la herramienta rltool es posible adicionar los dos ceros y el integrador del controlador PID, para ello en la interfaz grfica que aparece detrs del lugar geomtrico de las races en el apartado compensator editor dentro de la ventana dynamics es posible aadir polos y ceros a la grfica actual del lugar geomtrico de las races (vase figura 3.7). Mediante un click izquierdo se puede aadir cualquiera de los elementos que aparece en el men.

Figura 3.7. Interfaz grfica de rltool. Puede entonces verificarse que los nicos dos ceros que adiciona el controlador PID no pueden modificar la trayectoria asntota del polo ubicado en el semiplano derecho lo 56

cual se comprueba en las siguientes figuras. Para el caso en el que los dos ceros se disean como reales (real zero), la alteracin en el lugar de races produce que el polo del lado derecha se aleje a infinito como se puede apreciar en la figura 3.8 .

Figura 3.8. Lugar de races de los ceros reales ubicados en -3 y 3. Para el diseo en el que los ceros son complejos (complex zero), las trayectorias no modifican su comportamiento en la figura 3.9 puede observarse el lugar de races para este diseo.

Figura 3.9. Lugar de races de los ceros complejos ubicados en -11. Despus de realizar diversas pruebas para valores de ganancia y localizacin de los ceros del controlador se concluye que este controlador no es viable para la estabilizacin del sistema. Una de las pruebas que demostraron que el controlador PID no poda mantener en equilibrio al pndulo fue posicionando a los ceros en -250 y -11 respectivamente adicionado de un valor de ganancia de cien, los resultados producen inestabilidad como se muestra en la figura 3.10.

57

Figura 3.10. Lugar geomtrico de las races y respuesta al escaln unitario del PID. Para este valor de ganancia el polo de la planta localizado en la parte positiva del eje real no vara demasiado su posicin. El aumento de la ganancia del controlador provoca que la trayectoria del polo del polo posicionado en el semiplano derecho se acerque al origen. Manteniendo la localizacin de los ceros en los puntos antes mencionados y aumentando la ganancia a un valor de veintisiete mil. Por medio de la figura 3.11 es posible comprobar la limitacin de este controlador para este problema en general, debido al valor tan grande de ganancia que se requiere para obtener un tipo de respuesta aceptable sin tomar en cuenta que un controlador con una ganancia tan elevada no puede ser realizable para el sistema.

Figura 3.11. Lugar geomtrico de las races y respuesta del controlador.

58

3.4 Controlador con ganancia negativa Al realizar pruebas con un control proporcional y un controlador PID sin obtener resultados satisfactorios se utiliz una de las herramientas del men rltool conocida como Automated Tuning en la opcin de mtodo de diseo LQG (Linear Cuadratic Gaussian) modificando los valores del controlador como son: su respuesta, orden y la medicin del ruido. Con ello se obtuvo un controlador con una buena respuesta y un bajo orden. La figura 3.12 muestra la ventana de configuracin del LQG.

Figura 3.12. Sintonizacin del controlador LQG en rltool. El controlador descrito anteriormente se encuentra dentro de las tcnicas de control moderno que existen, una observacin importante que se hizo fue que dentro de la sintonizacin de este controlador su valor de ganancia era de valor negativo lo cual no haba sido probado para los controladores clsicos mencionados; existiendo la posibilidad de explorar esta alternativa. Al utilizar un valor de ganancia negativa es importante considerar que para el lugar geomtrico de las races sobre una seccin dada del eje real, el CRL se encuentra en la seccin sol si el nmero total de polos y ceros reales G(s)H(s) a la derecha de la seccin es par [43]. El CRL mencionado anteriormente se refiere al lugar geomtrico de las races con ganancia negativa, tomando en cuenta esta regla es posible modificar la posicin del polo que se encuentra en el eje positivo colocando otro polo cercano a 6.27, situando su valor en 6.1. Para realizar la trayectoria dirigida al lado izquierdo del lugar de races y poder posicionar el polo de la planta en el eje negativo se requiere la adicin de un polo posicionado en -3 y un par de ceros complejos en -4.5 0.5i.

59

Utilizando un valor de ganancia de -800 es posible conseguir una respuesta del sistema considerable, pese a que el tiempo de establecimiento no es muy rpido y el sobre pico tiene un valor elevado, los polos y el cero complejo permiten tener un buen valor de referencia para realizar modificaciones y pruebas. La figura 3.13 muestra la respuesta del sistema para el controlador con ganancia negativa. El anlisis anterior solamente explora las posibilidades que se tienen al disear un controlador para este sistema mediante las herramientas que ofrecen MATLAB y Simulink.

Figura 3.13. Lugar geomtrico de las races y respuesta al escaln unitario. 3.4.1 Anlisis de la respuesta del controlador en Simulink Mediante el uso de Simulink es posible realizar la simulacin de la respuesta del controlador previamente diseado, Simulink permite conocer la respuesta con condiciones de no linealidad incluyendo el par de carga y dems restricciones o caractersticas que se deseen agregar. Dentro de un nuevo proyecto se requiere insertar las funciones de transferencia correspondientes a la planta y controlador, la planta es conformada por la funcin de transferencia del motor que a su vez es la entrada de la funcin de transferencia que define al pndulo colocado sobre un carro o gua. Con el uso de esta herramienta se puede realizar la suma de las dos funciones de transferencia que corresponden al motor, puesto que el par de carga es un valor conocido su entrada puede ser representada como una constante y en el caso de la entrada de voltaje su valor de entrada es generado por el controlador. El diagrama de bloques que representa al sistema realimentado se muestra en la figura 3.14 donde la entrada set point representa al impulso unitario utilizado en el diseo del controlador.

60

Figura 3.14. Diagrama a bloques en Simulink. En el diagrama a bloques se puede observar las funciones de transferencia sealadas anteriormente, para tener un diagrama mejor definido se agregaron las operaciones necesarias para la conversin del torque o par producido en una componente de fuerza con la misma direccin que el giro del motor. Al realizar la simulacin del sistema incluyendo la constante del par de carga, los resultados obtenidos concordaban con el diseo desarrollado previamente. La figura 3.15 muestra el valor del ngulo en un scope de Simulink.

Figura 3.15 Scope de Simulink con el valor del ngulo. Al analizar los valores que produca el controlador (figura 3.16) para la estabilizacin del sistema se encontr un nuevo problema ya que aunque produca una buena respuesta su salida representaba valores imposibles de obtener para la entrada del motor de CD utilizado, por lo que se necesitaba establecer una restriccin o lmite para el valor de entrada del motor, el cual es de -24 a 24 volts.

61

Figura 3.16. Valores de voltaje producidos por el controlador. Utilizando un bloque de saturacin (saturation) en Simulink es posible limitar el valor de salida del controlador (voltaje de armadura) seleccionando los limites en -24 y 24. La figura 3.17 muestra la modificacin del diagrama a bloques en Simulink y la figura 3.18 la respuesta del sistema al escaln unitario.

Figura 3.17 Diagrama a bloques en Simulink con saturacin.

Figura 3.18 Respuesta del sistema con saturacin al escaln unitario. Los resultados de la simulacin demuestran que este controlador no puede equilibrar al pndulo en una implementacin real. El procedimiento de simulacin tambin es una muestra de la capacidad de anlisis que puede desarrollarse con las herramientas de MATLAB para el pndulo invertido. 62

Capitulo IV. Implementacin


Un parmetro fundamental en el sistema de control es el ngulo del pndulo invertido con respecto a la vertical, para ello se busc un sensor que fuese fiable y con una buena precisin. Se contempl el uso de sensores que no necesitaran tener contacto con el pndulo ya que esto provocara una friccin adicional al sistema mecnico del pndulo. La primera opcin seleccionada fue un acelermetro el cual mide las 3 componentes del sistema(x y z), el acelermetro seleccionado fue un ADXL335[44], entre sus caractersticas principales se encontraban el bajo consumo de potencia y un rango de sensibilidad de 3 grados. Despus de realizar la implementacin de este sensor en el eje del pndulo su medicin no fue la apropiada debido que el cambio tan rpido en la posicin del sensor produca incertidumbre en las mediciones de x, logrando con ello una inestabilidad en el control del pndulo. Posteriormente se utiliz un sensor giroscopio LISY300AL[45] en la parte circular del pndulo el cual indicara los cambios de posicin del pndulo debido a su forma de operacin, este seor utiliza el valor de la fuerza magntica de la tierra y posteriormente mide los cambios que existen en la posicin del sensor con respecto a esa referencia, mandando como salida un voltaje analgico de 1.67V a 2.54 V aproximadamente. La figura 4.1 ilustra una grfica de LabVIEW del comportamiento del sensor.

Figura 4.1. Grfica del Comportamiento del sensor giroscopio. La colocacin de ste deba ser cuidadosamente debido a que son muy sensibles a golpes y descargas (ESD) las cuales son provocadas por la electricidad esttica que existe en el cuerpo humano. Siendo colocado el sensor y verificando que responda a los cambios de ngulo se realizaron pruebas con el mismo. Obteniendo resultados no del todo satisfactorios ya que si bien media los cambios en su posicin, era muy sensible al ruido mecnico producido por el pndulo y por el mismo movimiento que tena el carro que contena al pndulo. 63

Al tener este problema se realizaron pruebas en el sensor utilizando filtros digitales Butterwort y Chebyshev como filtros analgicos pasa banda de un orden hasta filtrado de quinto orden, la figura 4.2 muestra el comportamiento del sensor con el uso de un filtro Butterwort de quinto orden . Las variaciones de voltaje por el ruido mecnico en estado esttico eran mnimas sin embargo al cambiar su posicin y regresar a un estado esttico el mismo ruido mecnico y la sensibilidad del sensor provocaba que este cambiara sus valores de punto de referencia aleatoriamente de 1.67 V hasta 2.4 V.

Figura 4.2. Filtro digital Butterwort de quinto orden. Esta incertidumbre no pudo ser corregida por etapas de filtrado ni por un cambio en la posicin del sensor por lo que se descart al mismo , ya que pese a que sus medidas en pequeas variaciones eran buenas los cambios repentinos en su punto de referencia provoca muchos fallos en la implementacin del controlador. Considerando que el ruido mecnico estara presente y que el realizar pruebas con un sensor de brjula magntica era difcil debido a la dificultad de adquisicin y el elevado costo superior a los setecientos pesos se pens en una alternativa probable para esta etapa. El sensor elegido por su versatilidad y costo fue el sensor de efecto hall, debido a que anteriormente se haba trabajado con este sensor para la medicin de las revoluciones por minuto del motor de CD con buenos resultados. Es por ello que se utilizaron sensores unipolares A1101EUA-T. Para poder realizar la medicin de los ngulos en el pndulo, se realiz un arreglo de sensores de efecto hall tomando como referencia una circunferencia, siendo el radio una parte de la longitud del pndulo. Para que los sensores pudieran detectar un campo magntico se agreg al pndulo un imn en la parte correspondiente al radio del crculo que contiene a los sensores de efecto hall. Debido a que los sensores detectan el campo magntico hasta que este cubre en su totalidad la regin activa del sensor, si se colocaba un imn que fuera aproximadamente 2 veces el tamao de la regin activa esto permitira medir cuando el pndulo cruza por cada sensor y cuando 64

se encuentra a la mitad de dos sensores aumentando la resolucin de la etapa de medicin. En la figura 4.3 se aprecia la matriz de sensores colocados sobre una circunferencia.

Figura 4.3. Matriz de sensores de efecto hall. La matriz de sensores no poda ser mayor a diecisis, debido a que esta cifra era el nmero mximo de entradas digitales en un puerto de la DAQ. Aunque la tarjeta tiene ms entradas para poder ser utilizadas estas no pueden ser usadas simultneamente por el hecho de que la tarjeta solo permite utilizar una entrada analgica, una entrada digital, una salida analgica y una salida digital al mismo tiempo. Esta restriccin se debe a que la usb-6212 utiliza un multiplexor para seleccionar entre los diferentes puertos de entradas o salidas. Una solucin para esta limitante es utilizar una condicin que realice un cambio de puerto despus de que el programa compruebe las entradas de la tarjeta de un puerto para el caso de este proyecto con este nmero de entradas se consider suficiente, nicamente midiendo los valores de los ngulos ms cercanos al equilibrio sobre la vertical (de -22 a 22) despreciando los dems ngulos. Para obtener una buena medicin se consider que la mnima resolucin de la matriz de sensores fuese de un grado o un poco menos. Sabiendo que el ancho de cada sensor es de 4 mm con el valor del radio se puede conocer la resolucin, siendo el valor del radio la longitud del pndulo seleccionada para la colocacin del sensor magntico. Si el permetro de un crculo est dado por la frmula: = 2 (ec. 4.1) El valor del permetro representa los 360 de la circunferencia, tomando este dato y dividindolo entre 0.4 que es el ancho de cada sensor, se obtiene el nmero de sensores que pueden ser colocados en esa circunferencia 2 = ( ) (0.4) (ec. 4.2) 65

Conociendo el nmero de sensores se puede encontrar la resolucin dividiendo 360 entre dos veces el nmero de sensores = ( 360 ) 2( ) (ec. 4.3) Es necesario tomar el valor del nmero de sensores como un valor entero para no tener problemas a la hora de colocar los sensores, El denominador lleva dos veces el nmero de sensores por la razn de que al poder medir cuando el pndulo se encuentra en una posicin intermedia entre dos sensores esto aumenta este valor en dos. Conociendo la resolucin se puede obtener el rango de ngulos que pueden ser medidos multiplicando la resolucin por los sensores a utilizar. = ()() (ec. 4.4) En este proyecto se utilizan 15 sensores con un radio de 11 centmetros obteniendo: = ((0.4)) = 172.78 Sensores. (ec. 4.5) = ( 360 ) = 1.04 2(172.78) (ec. 4.6) = ()() = (1.04 )(15) = 15.62 (ec. 4.7) 4.1.1 Circuito para la medicin de ngulos Para la realizacin del circuito que contiene a los sensores, se utiliza la configuracin de los sensores de efecto hall tomando las entradas de voltaje y de tierra a un punto comn cada una, con ello disminuyendo el nmero de cables que se conectan de este circuito hacia la tarjeta, y conectando las resistencias de carga en cada salida de los sensores de efecto hall para su correcto funcionamiento. La figura 4.4 muestra la conexin de los sensores en MULTISIM.
2

66

Figura 4.4. Circuito para la medicin de ngulos. 4.1.2 Programa en LabVIEW para la medicin de ngulos Conociendo las caractersticas de los sensores de efecto hall, se requera la creacin de un programa en LabVIEW que realizara la medicin continua de los cambios en al ngulo. Utilizando el puerto cero de entradas digitales de la usb-6212 era posible el desarrollo del programa adecuado para la medicin del ngulo. Dentro de un nuevo programa en LAbVIEW en la venta de diagrama a bloques se agrega un nuevo DAQ Assistant en este bloque dentro de la ventana de configuracin se selecciona el tipo de entrada y el puerto a utilizar. Para esta aplicacin se selecciona la opcin Acquire Signals Digital Input Port Input y posteriormente el puerto a utilizar siendo el port0 el necesario. Se da un click en el botn aceptar teniendo con esto la entrada digital lista para medir. Debido a que la entrada digital acepta una configuracin TTL6 es factible el uso de sensores de efecto hall. Siendo una entrada digital los valores que se obtienen a partir de la medicin son de tipo binario, mediante las combinaciones de los valores binarios que se obtienen dependiendo de los sensores que se encuentran activados al pasar el pndulo frente al arreglo de sensores se puede realizar una tabla para relacionar el valor binario que corresponde a cada posicin del pndulo, tomando en cuenta que el sensor ocho representa la posicin vertical del pndulo (cero grados). La tabla 4.1 muestra las distintas combinaciones:

Lgica transistor a transistor.

67

Valor binario 111111111111111 011111111111111 001111111111111 101111111111111 100111111111111 110111111111111 110011111111111 111011111111111 111001111111111 111101111111111 111100111111111 111110111111111 111110011111111 111111011111111 111111001111111 111111101111111 111111100111111 111111110111111 111111110011111 111111111011111 111111111001111 111111111101111 111111111100111 111111111110111 111111111110011 111111111111011 111111111111001 111111111111101 111111111111100 111111111111110

Valor decimal 32767 16383 8191 24575 20479 28671 26623 30719 29695 31743 31231 32255 31999 32511 32383 32639 32575 32703 32671 32735 32719 32751 32743 32759 32755 32763 32761 32765 32764 32766

ngulo (grados) Indefinido -14 -13 -12 -11 -10 -9 -8 -7 -6 -5 -4 -3 -2 -1 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14

Tabla 4.1. Combinaciones binarias de la entrada del puerto digital. Mediante los valores decimales de los valores binarios se realizaron veintinueve comparaciones en las mediciones del puerto digital, por medio de la funcin Comparison se conoce si el valor que mide la tarjeta es igual a alguno de los valores que se encuentran en la tabla, por lo que es necesario utilizar veintinueve bloques de comparacin. A la salida de cada bloque de comparacin se coloca una funcin Select que asigna el valor del ngulo si el valor medido es igual al de un bloque de comparacin utilizado o asigna un valor de cero en caso de que el valor medido sea diferente. Para obtener solo un valor de salida, todos los bloques Select se ingresan dentro de un bloque de suma Compound Arithmetic que se encarga de realizar la suma de todas las comparaciones, sabiendo que solo se puede obtener un valor dependiendo la posicin 68

del pndulo, este bloque se encarga de agrupar todas las comparaciones en una sola salida. Para obtener una ejecucin del programa continua se necesita ingresar los bloques anteriores dentro de un ciclo while. En la figura 4.5 se puede observar el diagrama de bloques para la entrada digital.

Figura 4.5. Programa para la medicin del ngulo del pndulo.

Circuito de etapa de potencia Conociendo que la usb-6212 solo puede otorgar como mximo de corriente en su salida analgica 2 mA era necesaria la adicin de una etapa de potencia en el circuito de salida, tambin era necesaria una etapa de amplificacin debido a que el rango de voltajes de la tarjeta es de 10 V. Para la amplificacin del voltaje se seleccion un amplificador operacional LM358[46] de la compaa ST Microelectronics, la razn por la cual se eligi a este tipo de amplificador es debido a que la entrada de voltaje para la amplificacin tena que ser mayor a 24V (Voltaje mximo para la alimentacin del motor de CD) para as poder alcanzar este voltaje. Otra caracterstica importante del amplificador es que su funcionamiento es mediante una fuente de voltaje unipolar, la cual puede llegar hasta un voltaje de 32 V. Los lmites de voltaje analgico en la DAQ son de 0 a 5 V, esta relacin de voltaje simplemente se eligi porque mientras ms pequea sea la diferencia entre el voltaje mximo y el voltaje mnimo es posible tener una mejor resolucin al utilizar el 69

convertidor digital a analgico de la tarjeta. Sustituyendo los valores en la frmula que describe la resolucin de la DAQ se obtiene: = (5 ) (0 ) = 0.7629 216

(ec. 4.8)

Un amplificador operacional consta de dos terminales de entrada y una de salida. La figura 4.6 muestra el smbolo de un amplificador operacional.

Figura 4.6. Terminales amplificador operacional. El op amp est diseado para captar la diferencia entre las seales de voltaje aplicada en sus dos terminales de entrada (esto es la cantidad 2 1 ), multiplicar esto por un nmero A y hacer que el voltaje resultante (2 1 ) aparezca en la terminal de salida. Se supone que el op amp ideal no toma ninguna corriente de entrada, es decir, la corriente de seal presente en la terminal 1 y la corriente de seal en la terminal 2 son cero ambas. En otras palabras, se supone que la impedancia de entrada de un opamp es infinita[47]. Al conocer estas caractersticas de un op amp (amplificador operacional) ideal el cual solo responde a la diferencia de entrada de voltaje entre sus terminales de entrada permitindole rechazar o anular voltajes de entrada iguales. La figura 4.7 muestra el circuito equivalente de un amplificador operacional.

Figura 4.7. Circuito equivalente amplificado operacional. Existen diversas configuraciones de amplificadores operacionales[48], las cuales pueden ser utilizadas para distintos propsitos. Para las necesidades del sistema se seleccion la configuracin no inversora por la razn que al amplificar una entrada no se invierte su polaridad lo cual es ideal si se utiliza un amplificador unipolar. La figura 4.8 muestra la configuracin no inversora de un amplificador operacional. 70

Figura 4.8. Amplificador no inversor. Para determinar la ganancia a circuito cerrado es necesario considerar que el op amp tiene ganancia infinita, adems de existir un cortocircuito entre sus terminales de entrada. El cortocircuito entre las terminales produce que el voltaje de entrada se encuentre en las dos terminales del amplificador por lo que la corriente que circula a travs de la resistencia 1 es representada como 1 .Debido a la infinita impedancia de entrada del op amp, est corriente circulara por 2 . Considerando esto el voltaje de salida puede ser determinado como: = + ( ) 1 2 (ec. 4.9) Dividiendo la ecuacin entre se observa la relacin de ganancia. 2 = 1+( ) 1 (ec. 4.10) Teniendo definida la relacin de ganancia del amplificador y en base a los lmites de voltaje que fueron escogidos para la salida analgica de la DAQ, la amplificacin de voltaje debe de ser de cinco veces el voltaje de entrada. Para ello las resistencias seleccionadas son 1 = 330 y 2 = 1.2 . Teniendo el voltaje variando a los valores deseados por medio del opamp era necesario aumentar la corriente del amplificador para poder operar al motor de una forma adecuada, para ello se utiliz un arreglo Darlington de dos transistores bipolares npn. Un transistor bipolar npn est formado por una fina capa de material de tipo p entre dos capas de material de tipo n. En el dispositivo, se forman dos uniones pn: la unin colector-base y la unin emisor-base. La corriente que fluye por una unin afecta a la corriente en la otra unin. Es esta interaccin la que hace al bipolar muy til como interruptor o como amplificador[49].

71

La Figura 4.9(a) muestra los nombres que se le da a las terminales de un transistor npn. En la figura 4.9(b) se aprecia el smbolo de un transistor bipolar npn as como las direcciones de referencia de los voltajes y corrientes.

Figura 4.9. El transistor bipolar npn. Para conocer el funcionamiento de un transistor en su regin activa es necesario realizar el anlisis del transistor mediante dos fuentes de alimentacin como se observa en la Figura 4.10. Esta configuracin es conocida como emisor comn.

Figura 4.10. Transistor npn conectado a dos fuentes variables. Utilizando la ecuacin de Shockley[50] la cual relaciona la relacin entre la corriente y el voltaje de un diodo, siendo descrita como: = [ ( ) 1] (ec. 4.11) es la corriente de saturacin y tiene un valor del orden de 1014A para diodos de unin de pequea seal a 300K. El parmetro n es el coeficiente de emisin, y toma valores entre 1 y 2. La tensin VT viene dada por = (ec. 4.12) y se le denomina tensin trmica. La temperatura de la unin, en grados kelvin, es T. Adems, = 1.38 1023 es la constante de Boltzmann, y = 1.60 1019 C 72

es el valor de la carga elctrica del electrn. A una temperatura de 300 K, tenemos que 26 [51]. Al observar el comportamiento de la regin pn y observar que la corriente de emisor ( ) en polarizacin directa depende del voltaje de base a emisor ( ), igual que en un diodo es posible implementar la ecuacin de Shockley realizando unos cambios = [ ( ) 1] (ec. 4.13) El valor del coeficiente de emisin n es igualado a uno, por ser el valor apropiado para transistores de este tipo, los valores de la corriente de saturacin varan entre 1012 y 1019 dependiendo de las caractersticas del transistor. Realizando el estudio del flujo de corriente en el transistor npn en su regin activa se concluye que al aplicar una polarizacin directa a la unin base-emisor, se produce un flujo de corriente a travs de la unin. Sin embargo, la mayor parte de esta corriente la aporta iC, ms que iB. Con un circuito adecuado, este efecto permite amplificar una seal que se aplique a la unin base-emisor[52]. Esta caracterstica del transistor npn le permite funcionar como un amplificador de corriente bajo esta configuracin. El parmetro Beta ( ) de un transistor es un factor, que depende de las caractersticas de diseo interno del transistor. Este parmetro puede es definido como = (ec. 4.14) Despejando la corriente de colector de la ecuacin (4.13) se obtiene la relacin que define a esta corriente en relacin con la corriente de base por el parmetro Beta. = (ec. 4.15) Esta relacin demuestra el funcionamiento del transistor como un amplificador de corriente, por lo que la ganancia de corriente que puede producir un transistor est relacionada con el parmetro del mismo. Teniendo conocimiento de que la ganancia de un transistor dependa directamente de su , era necesario encontrar un transistor que tuviese una relacin alta de ganancia, para poder hacer la correcta amplificacin de la corriente del op amp. Por ello se seleccion un transistor TIP 120[53] de la compaa ST Microelectronic, debido a que en su interior contiene un arreglo Darlington. Este tipo de transistores 73

son conocidos como transistores de potencia. La figura 4.11 ejemplifica el arreglo Darlington.

Figura 4.11. Transistor Darlington. Debido a que se encuentra conectada la salida de emisor del primer transistor a la entrada de base del segundo transistor la corriente de base del segundo transistor es definida por 2 =
1

(ec. 4.16) Utilizando la ecuacin (4.14) para cada transistor se obtiene Sustituyendo (4.15) en (4.17)
2

1 2

= =

1 1

(ec. 4.17)
2 2

(ec. 4.18) =
2 1

(ec. 4.19) Posteriormente se sustituye el valor de de ganancia de un arreglo Darlington


2 1

de la ecuacin (4.18) obteniendo la relacin


1 2 1

(ec. 4.20) El transistor Darlington seleccionado puede manejar una corriente de colector de hasta 5 A y voltajes superiores a 50 V en sus terminales siendo estas caractersticas suficientes para el manejo de potencia del sistema. Para propsito del proyecto se utiliz la fuente de voltaje que vena dentro de la impresora de la cual se obtuvo el motor y la banda. El voltaje suministrado por esta fuente es de 24 V, al utilizar el amplificador operacional se pierde 1.5 V entre su mximo de valor de ganancia y el voltaje suministrado por la fuente. Obteniendo el voltaje variable manejado desde la DAQ de 0 V hasta 22.5 V.

74

Teniendo la etapa de potencia hecha se necesita conectar todos los componentes. Para ello la salida analgica es conectada a la entrada positiva del opamp para que con su relacin de resistencias se obtenga el valor deseado de voltaje que es de 0 V a 24 V. Este voltaje amplificado es conectado a la base del transistor de potencia, mientras que la entrada de colector es conectada al voltaje de la fuente por ltimo emisor es la salida final de la etapa de potencia teniendo las caractersticas requeridas. La figura 4.12 muestra el circuito elctrico de la etapa de potencia. El circuito fue realizado mediante el programa MULTISIM 11.

Figura 4.12. Circuito electrnico de la etapa de potencia en MULTISIM. Teniendo la etapa de potencia definida la cual cumple el objetivo de aislamiento de la DAQ y el motor, entregando a su vez la corriente necesaria para el manejo del motor. Se puede realizar la conexin electrnica que involucra a todo el sistema; el circuito electrnico final del prototipo puede ser visto en la figura 4.13.

Figura 4.13. Circuito electrnico final.

75

4.1.3 Implementacin de un PID utilizando LabVIEW Por medio del programa LabVIEW se realiz el programa necesario para la ejecucin de un controlador PID en el pndulo, antes es bien sabido que este controlador no proporciona la estabilizacin del sistemas sin embargo la ejecucin del mismo permite realizar una corroboracin del diseo basado en el lugar geomtrico de las races, la figura 4.14 ilustra el diagrama a bloques del PID.

Figura 4.14. Diagrama a bloques del PID. La figura 4.15 muestra el panel frontal del PID, las grafica muestran el valor del ngulo que es medido y el valor de voltaje que se manda a la etapa de amplificacin del motor.

Figura 4.15. Panel frontal del PID.

76

Conclusiones
Los mtodos clsicos de sistemas de control realimentados proporcionan una solucin para diversos tipos de sistemas, pese a ello presentan limitantes con sistemas MIMO y sistemas de orden elevado; lo cual fue comprobado en el anlisis de esta problemtica en particular al no poder equilibrar al pndulo con el uso de control clsico. Las herramientas para una computadora personal utilizadas (MATLAB y Simulink) son de gran ayuda para el anlisis de sistemas de control realimentados, previendo funciones especficas de diseo como la funcin rltool la cual fue utilizada para la realizacin del anlisis del lugar geomtrico de las races; otorgando una excelente herramienta para realizar el diseo de un controlador. El entorno de programacin LabVIEW tiene ventajas en la capacidad de integracin con los elementos externos de la misma compaa (tarjetas de adquisicin de datos, mdulos de tiempo real, cmaras, entre otros) facilitando la creacin de aplicaciones de desarrollo pero sin perder la posibilidad de realizar programas de alta complejidad. Para poder obtener un mejor resultado en el modelado matemtico, el uso de un motor de CD con mejores caractersticas de diseo mecnico permite despreciar algunas de las constantes del mismo. En este trabajo en particular los problemas que se generaron fueron los valores obtenidos por las constantes, el tiempo de respuesta del motor y el tiempo de respuesta de la DAQ, la cual dentro de su arquitectura est diseada para la medicin de variables estticas a diferencia de una tarjeta de tiempo real que tiene la posibilidad de medir variables dinmicas. El prototipo obtenido en este trabajo se encentra limitado a la medicin de ngulos cercanos al punto de equilibrio buscado lo cual restringe la posibilidad de iniciar el movimiento del pndulo en una posicin de reposo para despus poder ser levantado y equilibrado. Proponiendo como una posibilidad el uso de una cmara digital, una cmara en tiempo real o la utilizacin de un sensor de brjula magntica para la medicin del pndulo en cualquier ngulo. Entre las alternativas que existen para estudios posteriores de este problema existe el uso de mtodos de control moderno como pueden ser reguladores cuadrticos, reguladores gaussianos, observadores de estado, entre otros metodos. Utilizando las ecuaciones de espacio estado desarrolladas en este trabajo es posible la implementacin de alguna de estas tcnicas de control moderno teniendo un estudio previo de las mismas, sugiriendo la implementacin de control ptimo para poder delimitar el valor de voltaje del motor como una funcin de costo explorando las herramientas de LabVIEW para modificar los valores y configuraciones del controlador obteniendo una didctica del mismo. Otra opcin es la utilizacin del modelo matemtico no lineal del sistema para poder trabajar con valores de ngulos ms grandes utilizando Simulink para la validacin y el uso de herramientas de control. Tambin es posible modificar la configuracin de tamao, peso o longitud del pndulo siendo lo anterior una de las ventajas de este prototipo, con el nico requerimiento de utilizar la forma correcta para la entrada del eje circular (el fresado adecuado). 77

Anexos
Anexo A
Descripcin de LabVIEW y los bloques utilizados

LabVIEW (Laboratory Virtual Instrument Engineering Workshop) en un entorno de programacin grfica de gran utilidad para sofisticadas mediciones, anlisis y control de sistemas por medio de diagramas de bloques, creando conexiones entre ellos mediante un flujo de programa. Permite la creacin de instrumentos virtuales por los cuales se puede realizar la conexin de los mismos con dispositivos externos para el anlisis y visualizacin de los programas ejecutados en LabVIEW. Los programas desarrollados mediante LabVIEW se denominan instrumentos virtuales (VIs), porque su apariencia y funcionamiento imitan los de un instrumento real. Teniendo en su interior la estructura funcional creada en cualquier tipo de lenguaje convencional. El programa contiene dos ventanas principales, el panel frontal y el diagrama de bloques. El panel frontal es una interfaz grfica encargada de adquirir las entradas procedentes del usuario y representa las salidas proporcionadas por el programa. Existen diversos tipos de bloques que pueden ser colocados en este panel, como son botones, potencimetros, grficas, etc. Cada uno de ellos puede estar definido como un control o un indicador. Los primeros sirven para introducir parmetros al VI, mientras que los indicadores se emplean para mostrar los resultados producidos, ya sean datos adquiridos o resultados de alguna operacin. El diagrama de bloques constituye el cdigo fuente del VI. En el diagrama de bloques se realiza la implementacin del programa para controlar o realizar cualquier procesado de las entradas y salidas. El diagrama de bloques incluye funciones y estructuras integradas en las libreras que incorpora LabVIEW. Ofreciendo la posibilidad de poder conectar los controles e indicadores con bloques de operaciones matemticas, operaciones booleanas, de comparacin, etc. El diagrama de bloques se construye conectando los distintos objetos entre s, como si se realizara un circuito. Los cables unen terminales de entrada y salida con los objetos correspondientes, y por ellos fluyen los datos.

78

Realiza la comunicacin de un mdulo de adquisicin de datos con el programa, modificando las propiedades del mismo. Crea una variable booleana de valor constante. Compara si el valor de una entrada es menor que el de la otra, representando el resultado de comparacin con un valor booleano. Nmero real constante. Operacin lgica AND entre dos variables. Comparacin booleana de una entrada, representado su salida como el flujo de datos de una de las dos entradas dependiendo del valor comparado. Genera una salida despus de un tiempo definido en sus propiedades. Ciclo while el cual detiene su ejecucin hasta habilitar su botn de paro. Habilita la ejecucin de los bloques contenidos en cada uno de los dos casos dependiendo del valor booleano que recibe en su entrada Suma de dos o ms elementos. Permite la comparacin de dos variables, o una sola con un valor fijo. Obtiene el valor absoluto de su respectiva entrada. Comparacin de la igualdad de dos variables, representando el resultado con un valor booleano. Compara si el valor de una entrada es mayor que el de la otra, representando el resultado con un valor booleano. Divisin de dos variables. Suma de dos variable. Convierte la entrada en una matriz

79

Anexo B
Medicin de velocidad angular a diferentes voltajes

Voltaje (V) Corriente(A) 8 0.05 8.5 0.05 9 0.05 9.5 0.05 10 0.05 10.5 0.05 11 0.05 11.5 0.05 12 0.05 12.5 0.05 13 0.05 13.5 0.05 14 0.05 14.5 0.05 15 0.05 15.5 0.05 16 0.05 16.5 0.05 17 0.05 17.5 0.05 18 0.05 18.5 0.05 19 0.05 19.5 0.05 20 0.05 20.5 0.05 21 0.05 21.5 0.05 22 0.05 22.5 0.06 23 0.06 23.5 0.06 24 0.06

Rpm 1894 2022 2168 2250 2307 2474 2608 2647 3000 3120 3333 3245 3409 3600 3750 3879 3956 4121 4225 2367 4500 4580 4666 4712 4941 5134 5217 5349 5510 5598 5684 5800 6000

(radianes) 198.33968 211.74384 227.03296 235.62 241.58904 259.07728 273.10976 277.19384 314.16 326.7264 349.03176 339.8164 356.99048 376.992 392.7 406.20888 414.27232 431.55112 442.442 247.87224 471.24 479.6176 488.62352 493.44064 517.42152 537.63248 546.32424 560.14728 577.0072 586.22256 595.22848 607.376 628.32

80

Anexo C
Descripcin de bloques utilizados en Simulink

Utilizado para realizar una referencia de un valor de entrada. Funcin de transferencia que puede ser modificada por el numerador y denominador deseado. Realiza la suma de dos bloques, en sus propiedades se puede modificar el nmero de entradas y signos de las mismas. Muestra el valor que tiene la seccin del diagrama a bloques seleccionada desde el tiempo de inicio de la simulacin hasta el final de la misma Produce un lmite mnimo y mximo en el valor de entrada que recibe, estos lmites pueden ser configurados en sus propiedades. Realiza la multiplicacin de dos bloques de cualquier tipo considerando su similitud y propiedades. Ganancia contina, su valor puede ser asignado directamente o definido por una variable. Divisin de dos entradas siendo el numerador la entrada de multiplicacin y el denominador la entrada de divisin. Suma de dos elementos, es posible modificar el nmero de entradas y el signo de ellas. Valor de entrada constante y continuo, modificable desde sus propiedades. Genera una funcin de escaln unitario modificable en sus valores de ejecucin. Utilizado para realizar una referencia de un valor de salida. Reduce un diagrama de bloques a uno solo el cual por medio de los bloques In1 y Out1 muestra las posibles entradas y salidas.

81

Anexo D Utilizando el polinomio de Taylor es posible obtener una aproximacin del valor del seno y coseno de un ngulo pequeo. El teorema de Taylor menciona: Si f es derivable en a entonces: ( ) (() + ()( )) =0 lim Dentro de un intervalo lim ( ) (() + ()( )) = 0

entonces ( ) () + ()( )

Designando como a=0 y x= se realiza la evaluacin de la funcin seno para valores prximos a cero: ( ) = ( ) = () = 0 = 1 0 + 1( 0) Designando como a=0 y x= se realiza la evaluacin de la funcin coseno para valores prximos a cero: ( ) = ( ) = () = 0 = 0 1 0( 0) 1 La suma de ngulos es descrita de la siguiente forma: sen( ) = cos( ) =

82

Realizando el clculo para el ngulo = 180 y utilizando las demostraciones del valor de para ngulos pequeos. sen( + ) = + sen( + ) = (0)(1) + (1)( ) = cos( + ) = + cos( + ) = (1)(1) + (0)( ) = 1

83

Bibliografa
[1] Franklin, Gene F. (2002). En Feedback Control of Dynamic Systems" (Fourth ed., pg. 35).London.: Prentice Hall. [2] Garca de Jaln, J. I. (2005). En Aprenda MATLAB 7 como si estuviera en primero. Madrid, Espaa.: Alfaomega. [3] Lajara Vizcano, Jos Rafael. (2007). LabVIEW: entorno grfico de programacin. (Primera ed.). Mxico, D.F.: Alfaomega. [4] Shigley, Joseph Edward. (1983). En Teora de mquinas y mecanismos. (Primera ed.). Mxico.: McGraw-Hill. [5] (s.f.). Recuperado el 30 de Octubre de 2011 a las 11:40 am, de http://mx.kalipedia.com/informatica/tema/graficos-regulador-centrifugowatt.html?x1 =20070821klpinginf_30.Ges&x=20070821klpinginf_81.Kes. [6] Ogata, Katsuhiko.(1998). En Ingeniera de Control Moderna. (Tercera ed., pgs. 2-3). Mxico.: Prentice Hall Hispanoamericana. [7] Kuo, Benajmin C. (2003). En Sistemas de control automtico (Septima ed., pg. 483). Mxico D.F.: Pearson education. [8] Ogata, Katsuhiko.(1998). En Ingeniera de Control Moderna. ( Tercera ed., pgs. 2-3). Mxico.: Prentice Hall Hispanoamericana. [9] Haykin, Simon S. (2001). En Seales y sistemas. (Primera ed.). Mxico D.F.: Limusa. [10] Gomriz Castro, Spartacus. (1999). En Teora de Control: diseo electronico.(pg. 19) Mxico.: Alfaomega. [11] Haykin, Simon S. (2001). En Seales y sistemas. (Primera ed.). Mxicos D.F.: Limusa. [12] Ogata, Katsuhiko. (1998). Ingeniera de control moderna (Tercera ed.). Mxico.: Prentice Hall Hispanoamericana. [13] Shigley, Joseph Edward. (1983). En Teora de mquinas y mecanismos. (Primera ed.). Mxico.: McGraw-Hill. [14] Chapman, Stephen J. (2005). Mquinas elctricas. En Fundamentos de mquinas de corriente directa (Primera ed., pg. 515). Mxico. D.F.: McGraw-Hill Interamrica editores.

[15] Kosow, Irving L. (2005). En Mquinas elctricas y transformadores (Primera ed., pgs. 126-127). Barcelona.: Revert. [16] Chapman, Stephen J. (2005). Mquinas elctricas. En Fundamentos de mquinas de corriente directa (Primera ed., pg. 481). Mxico. D.F.: McGraw-Hill Interamrica editores. [17] Chapman, Stephen J. (2005). Mquinas elctricas. En Fundamentos de mquinas de corrientedirecta (Primera ed., pg. 515). Mxico. D.F.: McGraw-Hill Interamrica editores. [18] (s.f.). Recuperado el 30 de Octubre de 2011 a las 11:44 am, de http://es.scribd.com/doc/14383422/Motores-de-Corriente-Continua. [19] (s.f.). Recuperado el 30 de Octubre de 2011 a las 11:46 am, de http://www.desi.iteso.mx/elec/automat/control_1/Apuntes/MedicionParametrosMoto rCD.pdf [20] Gray, C. B. (1997).En Mquinas Elctricas y sistemas accionadores. (pg. 231). Mxico.:Alfaomega. [21] Gray, C. B. (1997).En Mquinas Elctricas y sistemas accionadores. (pg. 231). Mxico.:Alfaomega.
[22]

(s.f.). Recuperado el 30 de Octubre de 2011 a las 11:46 am, de http://www.bkprecision.com/products/docs/datasheets/2890A_datasheet.pdf. [23] (s.f.). Recuperado el 30 de Octubre de 2011 a las 11:46 am, de http://www.tesmeter.com/tes2360.htm [24] (s.f.). Recuperado el 30 de Octubre de 2011 a las 11:48 am, de http://sine.ni.com/ds/app/doc/p/id/ds-9/lang/es. [25] (s.f.). Recuperado el 30 de Octubre de 2011 a las 11:48 am, de http://pdf1.alldatasheet.com/datasheet-pdf/view/227005/ALLEGRO/A1101EUA-T.html. [26] Palls-Areny, Ramn.(2007). En Sensores y acondicionadores de seal. (Cuarta ed.). Mxico.: Alfaomega. [27] (s.f.). Recuperado el 30 de Octubre de 2011 a las 11:48 am, de http://www.datasheetcatalog.org/datasheet/stmicroelectronics/1330.pdf.
[28]

(s.f.). Recuperado el 30 de Octubre de 2011 a las 11:49 am, de http://www.ni.com/pdf/manuals/374483a.pdf.

[29] Zacaras Carreto D. A. Estudio y control realimentado de un motor de cd de laboratorio con las herramientas de Matllab y Labview. Tesina de ingenieria mecnica electrica. Universidad Vereacruzana.2011. [30] Ogata, Katsuhiko.(1998). En Ingeniera de Control Moderna (Tercera ed.). Mxico.:Prentice Hall Hispanoamericana. [31] Ogata, Katsuhiko.(1998). En Ingeniera de Control Moderna (Tercera ed., pgs. 7172). Mxico.:Prentice Hall Hispanoamericana. [32] Garca de Jaln, J. I. (2005). En Aprenda MATLAB 7 como si estuviera en primero. Madrid, Espaa.: Alfaomega. [33] Faughn, R. S. (2008). En College Physics (sixth ed. ,pag. 190.). Brooks Cole. [34] Wilson, Jerry D. (2007). Fsica. (Sexta Education. ed., pg. 225).Mxico. D.F.:Pearson

[35] Raymond, S. (2005), Fsica para ciencias e ingenieras. (Primera ed., pg. 302). Mxico. D.F.: McGraw-Hill Interamrica editores. [36] Franklin, Gene F. (2002). En Feedback Control of Dynamic Systems" (Fourth ed., pg. pp37).London.: Prentice Hall. [37] Bedford, A. (2008). Mcanica para ingeniera: esttica. (Quinta ed., pg. 362). Mxico. D.F.: Pearson Education. [38] (s.f.). Recuperado el 30 de Octubre de 2011 a las 11:48 am, de https://wiki.brown.edu/confluence/download/attachments/28887/50+N+Force+Senso r.pdf?version=1&modificationDate=1182946687000. [39] (s.f.). Recuperado el 30 de Octubre de 2011 a las 11:48 http://www.pasco.com/file_downloads/datastudio/ds_19_new_features.pdf. am,

[40] Haykin, Simon S. (2001). En Seales y sistemas. (Primera ed.). Mxicos D.F.: Limusa. [41] Ogata, Katsuhiko. (1998). Ingeniera de control moderna (Tercera ed.). Mxico.: Prentice Hall Hispanoamericana. [42] Ogata, Katsuhiko. (1993.). En Ingeniera de Control Moderna (Segunda edicin. ed., pg. 394). Mxico.Prentice Hall Hispanoamericana. [43] Kuo, Benajmin C. (2003). En Sistemas de control automtico (Septima ed., pg. 483). Mxico D.F.: Pearson education.

[44] (s.f.). Recuperado el 30 de Octubre de 2011 a las 11:50 am, de http://www.sparkfun.com/datasheets/Components/SMD/adxl335.pdf [45] (s.f.). Recuperado el 30 de Octubre de 2011 a las 11:50 am, de http://www.sparkfun.com/datasheets/Sensors/LISY300AL.pdf [46] (s.f.). Recuperado el 30 de Octubre de 2011 a las 11:50 am, de http://www.datasheetcatalog.org/datasheet/stmicroelectronics/2163.pdf [47] Sedra, Adel S. (1999). En Circuitos Microelectrnicos (Cuarta ed., pg. 62).Mxico, D.F.: Oxford University Press. [48] Sedra, Adel S. (1999). En Circuitos Microelectrnicos (Cuarta ed., pgs. 71-81). Mxico, D.F.: Oxford University Press. [49] Hambley, Allan R. (2001). En Electrnica (Segunda ed., pg. 220). Madrid.: Prentice-Hall. [50] Hambley, Allan R. (2001). En Electrnica (Segunda ed., pg. 164). Madrid.: Prentice-Hall. [51] Hambley, Allan R. (2001). En Electrnica (Segunda ed., pg. 221). Madrid.: Prentice-Hall. [52] Hambley, Allan R. (2001). En Electrnica (Segunda ed., pg. 222). Madrid.: Prentice-Hall. [53] (s.f.). Recuperado el 30 de Octubre de 2011 a las 11:50 am, de http://www.datasheetcatalog.org/datasheet/stmicroelectronics/4128.pdf

También podría gustarte