Está en la página 1de 36

INSTITUTO TECNOLGICO SUPERIOR DE ZACAPOAXTLA

ING.MECATRONICA

ELCTRONICA DIGITAL

ANTOLOGIA

ING.ANGEL VERGARA BETANCOURT

ALUMNO:

FRANCISCO JAVIER VAZQUEZ ESPINOZA

Zacapoaxtla, Pue, Diciembre 09 de 2011

EL SISTEMA BINARIO En matemticas e informtica, es un sistema de numeracin en el que los nmeros se representan utilizando solamente las cifras cero y uno (0 y 1). Es el que se utiliza en las computadoras, debido a que trabajan internamente con dos niveles de voltaje, por lo cual su sistema de numeracin natural es el sistema binario (encendido 1, apagado 0) Un nmero binario puede ser representado por cualquier secuencia de bits (dgitos binarios), que suelen representar cualquier mecanismo capaz de estar en dos estados mutuamente excluyentes. Los nmeros binarios se escriben a menudo con subndices, prefijos o sufijos para indicar su base. Las notaciones siguientes son equivalentes: 1. 100101 binario (declaracin explcita de formato) 2. 100101b (un sufijo que indica formato binario) 3. 1001012 (un subndice que indica base 2 (binaria) notacin SISTEMA BINARIO OCTAL Y DECIMAL El sistema binario o sistema de numeracin en base 2 es tambin un sistema de numeracin posicional igual que el decimal, pero slo utiliza dos smbolos, el 0 y el 1. Por lo tanto para poder representar mayor nmero de informacin al tener menos smbolos tendremos que utilizar ms cifras: Bit: Cuarteto: Byte: Kilobyte: Megabyte: Gigabyte: 1025 megabytes Nmero 0 formado 8 1024 1024 por 4 1 bits bits bytes kilobytes

SISTEMA OCTAL
Representar un nmero en sistema binario puede ser bastante difcil de leer, as que se cre el sistema octal. En el sistema Octal (base 8), slo se utilizan 8 cifras (0, 1, 2, 3,4, 5, 6,7) Este Sistema de numeracin una vez que se llega a la cuenta 7 se pasa a 10, etc. Cuenta hecha en octal: 0,1,2,3,4,5,6,7,10,11,12,13,14,15,16,17,20,21,.. se puede observar que en este sistema numrico no existen los nmeros: 8 y 9 El sistema de numeracin octal es tambin muy usado en la computacin por tener una base que es potencia exacta de 2 o de la numeracin binaria. Esta caracterstica hace que la conversin a binario o viceversa sea bastante simple. El sistema octal usa 8 dgitos (0,1,2,3,4,5,6,7) y tienen el mismo valor que en el sistema de numeracin decimal Es un sistema de base 8, es decir, con slo ocho smbolos distintos 0, 1, 2, 3, 4, 5, 6,7.

CONVERSIN ENTRE SISTEMAS NUMRICOS


Un nmero es sistema binario es por lo tanto una secuencia de bits, as por ejemplo: 11101001 2 es un nmero en base 2 y representa el nmero:

1 * 27 + 1 * 26 + 1 * 25 + 0 * 24 + 1 * 23 + 0 * 22 + 0 * 21 + 1 * 20 = 128 + 64 + 32 + 0 + 8 + 0 + 0 + 1 = 233 Por ejemplo: 40712 8 es un nmero en base 8 y representa el nmero:

\large 4 \times 8^4 + 0 \times 8^3 + 7 \times 8^2 + 1 \times 8^1 + 2 \times 8^0 = 4 \times 4094 + 0 \times 512 + 7 \times 64 + 1 \times 8 + 2 \times 1 = 16384 + 0 + 448 + 8 + 2 = 16842 Los nmeros octales pueden construirse a partir de nmeros binarios agrupando cada tres dgitos consecutivos de estos ltimos (de derecha a izquierda) y obteniendo su valor decimal. Por ejemplo, el nmero binario para 74 (en decimal) es 1001010 (en binario), lo agruparamos como 1 001 010. De modo que el nmero decimal 74 en octal es 112. En informtica, a veces se utiliza la numeracin octal en vez de la hexadecimal. Tiene la ventaja de que no requiere utilizar otros smbolos diferentes de los dgitos. La numeracin octal es tan buena como la binaria y la hexadecimal para operar con fracciones, puesto que el nico factor primo para sus bases es 2. : El sistema de numeracin ms utilizado actualmente en computacin es el hexadecimal o base 16, el cual consta de 16 dgitos smbolos 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E y F . El sistema hexadecimal un sistema de numeracin vinculado a la informtica, ya que los ordenadores interpretan los lenguajes de programacin en bytes, que estn compuestos de ocho dgitos. A medida de que los ordenadores y los programas aumentan su capacidad de

procesamiento, funcionan con mltiplos de ocho, como 16 o 32. Por este motivo, el sistema hexadecimal, de 16 dgitos, es un estndar en la informtica. Como nuestro sistema de numeracin slo dispone de diez dgitos, debemos incluir seis letras para completar el sistema. Estas letras y su valor en decimal son: A = 10, B = 11, C = 12, D = 13, E = 14 y F = 15.

El sistema hexadecimal es posicional y por ello el valor numrico asociado a cada signo depende de su posicin en el nmero, y es proporcional a las diferentes potencias de la base del sistema que en este caso es 16. Veamos un ejemplo numrico: 3E0,A (16) = ( 316 ) + ( E16 ) + ( 0160 ) + ( A161 ) = ( 3256 ) + ( 1416 ) + ( 01 ) + ( 100,0625 ) = 992,625 La utilizacin del sistema hexadecimal en los ordenadores, se debe a que un dgito hexadecimal representa a cuatro dgitos binarios (4 bits = 1 nibble), por tanto dos dgitos hexadecimales representaran a ocho dgitos binarios (8 bits = 1 byte) que como es sabido es la unidad bsica de almacenamiento de informacin. Por ejemplo: 2A703 16 es un nmero en base 16 y representa el nmero: {$ 2 * 16^4 + 10 * 16^3 + 7 * 8^2 + 0 * 16^1 + 3 * 16^0 = 2 * 65536 + 10 * 1096 + 7 * 256 + 0 * 16 + 3 * 1 = 16384 + 10960 + 1792 + 0 + 3 = 29139 $}

TABLA

DEL

SISTEMA

DECIMAL,

BINARIO,

OCTAL

HEXADECIMAL
Decimal Binario Octal Hexadecimal 0 1 2 3 4 5 6 7 8 0 1 10 11 100 101 110 111 1000 0 1 2 3 4 5 6 7 10 0 1 2 3 4 5 6 7 8

9 10 11 12 13 14 15

1001 1010 1011 1100 1101 1110 1111

11 12 13 14 15 16 17

9 A B C D E F

COMPLEMENTO A 2
Veamos un ejemplo: tomemos el nmero N = 45 que, cuando se expresa en binario es N = 1011012, con 6 dgitos, y calculemos su complemento a dos: Cabe sealar que en este ejemplo se ha limitado el nmero de bits a 6, por lo que no sera posible distinguir entre el -45 y el 19 (el 19 en binario es 10011). En realidad, un nmero en complemento a dos se expresa con una cantidad arbitraria de unos a la izquierda, de la misma manera que un nmero binario positivo se expresa con una cantidad arbitraria de ceros. As, el -45, expresado en complemento a dos usando 8 bits sera 11010011, mientras que el 19 sera 00010011; y expresados en 16 bits seran 1111111111010011 y

0000000000010011 respectivamente. Se presenta la tabla de verdad del complemento a 2 para cuatro dgitos. Por ejemplo, el complemento a dos de 0011 11010 es 1100 00110Otra forma es negar todos los dgitos (se halla el complemento a 1) y despus sumar un 1 al resultado, viene a ser lo mismo que lo anteriormente explicado. 100001 ---> 011110 --> 011111

OPERACIONES BSICAS EN BINARIO BINARIO A DECIMAL


Para realizar la conversin de binario a decimal, realice lo siguiente: Inicie por el lado derecho del nmero en binario, cada cifra multiplquela por 2 elevado a la potencia consecutiva (comenzando por la potencia 0, 20). Despus de realizar cada una de las multiplicaciones, sume todas y el nmero resultante ser el equivalente al sistema decimal. Ejemplos: Los nmeros de arriba indican la potencia a la que hay que elevar 2)

Tambin se puede optar por utilizar los valores que presenta cada posicin del nmero binario a ser transformado, comenzando de derecha a izquierda, y sumando los valores de las posiciones que tienen un 1.

SUMA DE NMEROS BINARIOS


La tabla de sumar para nmeros binarios es la siguiente: + 0 1

0 0 1 1 1 10

Las posibles combinaciones al sumar dos bits son:


0+0=0 0+1=1 1+0=1 1 + 1 = 10

Note que al sumar 1 + 1 es 102, es decir, llevamos 1 a la siguiente posicin de la izquierda (acarreo). Esto es equivalente, en el sistema decimal a sumar 9 + 1, que da 10: cero en la posicin que estamos sumando y un 1 de acarreo a la siguiente posicin.

RESTA DE NMEROS BINARIOS


El algoritmo de la resta en sistema binario es el mismo que en el sistema decimal. Pero conviene repasar la operacin de restar en decimal para comprender la operacin binaria, que es ms sencilla. Los trminos que intervienen en la resta se llaman minuendo, sustraendo y diferencia. Las restas bsicas 0 - 0, 1 - 0 y 1 - 1 son evidentes:

0-0=0 1-0=1 1-1=0 0 - 1 = 1 (se transforma en 10 - 1 = 1) (en sistema decimal equivale a 2 1 = 1)

La resta 0 - 1 se resuelve igual que en el sistema decimal, tomando una unidad prestada de la posicin siguiente: 0 - 1 = 1 y me llevo 1, lo que equivale a decir en el sistema decimal, 2 - 1 = 1.

PRODUCTO DE NMEROS BINARIOS


La tabla de multiplicar para nmeros binarios es la siguiente: 0 1

0 0 0 1 0 1 El algoritmo del producto en binario es igual que en nmeros decimales; aunque se lleva a cabo con ms sencillez, ya que el 0 multiplicado por cualquier nmero da 0, y el 1 es el elemento neutro del producto. Por ejemplo, multipliquemos 10110 por 1001: 10110 1001 10110 00000 00000 10110 11000110 Cdigo ASCII. Es el cdigo de caracteres ms utilizado en las aplicaciones de cmputo. Por sus siglas en ingles se llama Cdigo estndar americano para intercambio de informacin. En general, una cadena de bits puede representar cualquier carcter, numrico o no. Dado que la mayora de los procesadores de datos incluyen texto, los caracteres que se usan mas frecuentemente forman parte de un alfabeto, que se representa en el computador con una cadena de bits particular.

En este cdigo, cada carcter se representa con una cadena de 7 bits. Este cdigo codifica 128 caracteres diferentes, incluyendo maysculas y minsculas, nmeros, algunos signos de puntuacin, y una serie de caracteres de control Cdigo Gray. Un cdigo cclico se puede definir como cualquier cdigo en el que, para cualquier palabra de cdigo, un corrimiento circular produce otra palabra del cdigo. El cdigo Gray es uno de los tipos ms comunes de cdigos cclicos y tiene la caracterstica de que las palabras de cdigo para dos nmeros consecutivos difieren slo en un bit. Es decir, la distancia entre las dos palabras de cdigo es 1. En general la distancia entre dos palabras de cdigo binario es igual al nmero de bits en que difieren las dos palabras.

Para la conversin de cdigo binario a cdigo gray se siguen los siguientes pasos:

El bit ms significativo en el cdigo gray, es el mismo de cdigo binario. Yendo de izquierda a derecha, sumar cada par adyacente de los bits en cdigo binario para obtener el siguiente bit en cdigo gray. Se descartan los acarreos. Para convertir de cdigo gray a binario, se siguen los pasos siguientes: El bit ms significativo en cdigo binario, es el mismo que el correspondiente bit en cdigo gray. A cada bit del cdigo binario generado, se le suma el bit en cdigo gray de la siguiente posicin adyacente. Se descartan los acarreos.

CDIGO DECIMAL CODIFICADO EN BINARIO (BCD).


Sirve para representar los dgitos decimales del 0 al 9 y es un ejemplo de un cdigo ponderado, es decir, cada posicin de bit en el cdigo tiene un valor o peso numrico fijo asociado a ella. Este cdigo asigna una representacin binaria sin signo de 4 bits a cada dgito entre 0 y 9, no usndose las palabras del cdigo entre 1010 y 1111.

La conversin entre las representaciones BCD y decimal se puede llevar a cabo simplemente sustituyendo 4 dgitos BCD por cada dgito decimal y viceversa. Sin embargo, en la prctica se suelen agrupar dos dgitos BCD en un byte de 8 bits, que por tanto puede representar cualquier valor comprendido entre 0 y 99.

Ejemplo Codificar el nmero N = (9750)10 en BCD.

Despus se concatenan los cdigos individuales para obtener N = (1001011101010000) El cdigo BCD se puede utilizar en operaciones aritmticas. La suma es la ms importante de estas operaciones, ya que las otras tres se pueden llevar a cabo utilizando la suma. Para sumar dos nmeros en formato BCD se siguen los siguientes pasos: Se suman utilizando las reglas de la suma binaria. Si una suma de cuatro bits es igual o menor que 9, es un nmero BCD vlido. Si una suma de 4 bits es mayor que 9, o si se genera un acarreo en el grupo de 4 bits, el resultado no es vlido. En este caso, se suma 6 (0110) al grupo de 4

bits para saltar as los 6 estados no vlidos y pasar al cdigo BCD. Si se genera un acarreo al sumar 6, ste se suma al grupo de 4 bits siguiente

REGLAS BSICAS DEL LGEBRA DE BOOLE


Muy tiles para la manipulacin y simplificacin de expresiones booleanas. 1. A + 0 = A2.A + 1 = 13.A 0 = 04.A 1 = A5.A + A = A6.A + A = 17.A A = A8.A A = 09.A = A10.A + AB = A11.A + AB = A + B12.(A + B)(A + C) = A + BC A, B, o C pueden representar una nica variable o una combinacin de variables

COMPUERTAS LGICAS
Circuitos que aceptan valores lgicos a la entrada y producen valores lgicos a la salida. Un circuito que realiza una operacin lgica determinada (NOT, AND, OR) se llama puerta lgica.-Lgica Combinatoria: cuando en un circuito lgico el estado de las salidas depende slo del estado de las entradas, es decir combinaciones de diferentes valores lgicos a la entrada de un circuito lgico hacen que aparezcan distintos valores lgicos a la salida. En este curso se tratarla Lgica Combinatoria.-Lgica Secuencial: si el estado de la salida depende del estado delas entradas y tambin del estado anterior del circuito. Esta lgica no se tratar este curso.

Compuertas Lgicas Compuerta Amplificador Compuerta NOT o Inversor Compuerta AND Compuerta OR

Compuerta NAND Compuerta NOR Compuerta XOR Compuerta XNOR

COMPUERTA AMPLIFICADOR
Realiza la operacin denominada amplificacin. Mantiene un nivel lgico de una entrada (A) en la salida (X). En trminos de bits mantiene: -Un 1 por un 1.Un 0 por un 0.Se utiliza para retrasar la transmisin de una seal lgica y para distribuir la seal de salida a ms componentes que la seal original. Smbolo lgico estndar:

COPUERTA AND La puerta AND es una de las puertas bsicas con la que se construyen todas las funciones lgicas. Tiene dos o ms entradas y una nica salida. Realiza la operacin que se conoce como multiplicacin lgica. Smbolo lgico estndar:

Puerta AND: Funcionamiento

En una puerta AND de dos entradas: La salida AB es un nivel ALTO si A y B estn a nivel ALTO. La salida AB es un nivel BAJO si: A es un nivel BAJO B es un nivel BAJO o si A y B estn a nivel BAJO

COPUERTA NAND O MULTIPLICADORA INVERSORA La puerta NAND produce la funcin inversa de la AND, o sea, la negacin del producto lgico de las variables de entrada. Acta como una puerta AND seguida de una NOT.

VALOR VALOR EN VALOR EN

Tabla De La Verdad De La

LA PARTE A LA PARTE B

OBTENIDO EN Puerta Multiplicadora Inversora NAND LA SALIDA

0 0 1 1

0 1 0 1

0 0 0 1

COMPUERTA OR EXCLUSIVA (OREX)


La salida de esta compuerta es 1, estado alto o verdadero si cada entrada es 1 pero excluye la combinacin cuando las dos entradas son 1. La funcin OR exclusiva tiene su propio smbolo grfico o puede expresarse en trminos de operaciones complementarias AND, OR.

VALOR VALOR EN VALOR EN OBTENIDO LA PARTE LA PARTE EN LA A B SALIDA

0 0 1 1

0 1 0 1

0 1 1 0

Tabla De La Verdad De La Puerta OR Exclusiva (OREX) Puerta NOR Al igual que la puerta NAND, es un

elemento lgico til porque tambin se puede emplear como puerta universal: Se pueden usar combinadas para implementar las operaciones AND, OR y del Inversor. El trmino NOR es una contraccin de NOT-OR e implica:Una funcin OR con la salida complementada (negada).Smbolo lgico estndar:

COMPUERTAS XOR y XNOR Las puertas OR-exclusiva (XOR) y NOR-exclusiva (XNOR) se forman mediante la combinacin de otras puertas ya vistas. Debido a su importancia fundamental en muchas aplicaciones, estas puertas se tratan como elementos lgicos bsicos con su propio smbolo nico.

COMPUERTA XOR La puerta XOR tiene slo dos entradas. Smbolo lgico estndar:

XOR: Equivalencia Se puede sustituir por la combinacin de puertas AND, OR y NOT. Ecuacin lgica equivalente: A B = AB + AB

COMPUERTA XNOR La puerta XNOR, al igual que la XOR, slo tiene dos entradas. Smbolo lgico estndar:

Familias lgicas TTL y Cmos

Existen varias tecnologas de circuitos integrados digitales que se usan para implementar las puertas lgicas bsicas. Las ms extendidas: CMOS TTL Para aplicaciones ms especializadas: ECL La funcin de las puertas lgicas bsicas es la misma independientemente de la tecnologa de circuitos integrados que se utilice.

CMOS (ComplementaryMetal-Oxide Semiconductor) se implementa con un tipo de transistor de efecto de campo. TTL (Transistor-TransistorLogic) se implementa mediante transistores

bipolares. ECL (Emitter-CoupledLogic) tambin se implementa mediante la tecnologa bipolar

CMOS

Es la tecnologa utilizada en los circuitos de gran escala de integracin y microprocesadores. Es la ms popular en la actualidad. Su mayor ventaja reside en ofrecer mucha menor disipacin de potencia.

TTL Es una tecnologa de circuitos integrados muy popular. Su mayor ventaja reside en las grandes velocidades de conmutacin. Tambin ofrece una enorme variedad de dispositivos

SIMPLIFICACIN BOOLEANA Circuitos Lgicos Original y Simplificado

A partir de la simplificacin se obtienen dos redes de puertas equivalentes: Se pasa de cinco a dos puertas necesarias para implementar la expresin. Para cualquier combinacin de valores de entrada A, B y C se obtiene siempre la misma salida. El sistema matemtico denominado lgebra Booleana, es un mtodo simblico de estudiar relaciones lgicas, el cual se desarrolla en tres partes:

1. Se establecen los conceptos fundamentales (smbolos o trminos no definidos).

2. Se define un conjunto de postulados que formen la base del lgebra.

3. Se constituyen los teoremas fundamentales del lgebra a partir de los postulados.

A su vez, las exigencias y condiciones que deben reunir los postulados son: Separables en dos o ms partes.

POSTULADOS.

En base a los elementos primitivos establecidos anteriormente, se formulan los siguientes

Postulados (axiomas), que por definicin no requieren de demostracin. P.1. Existe un conjunto M de elementos sujetos a una relacin de equivalencia, denotada por el signo = que satisfacen el principio de sustitucin.

P.2.a. Para toda (A, B) 0 M, A + B es una operacin binaria denotada por el signo +, tal que (A+ B) 0 M.

P.2.b. Para toda (A, B) 0 M, A C B es una operacin binaria denotada por el signo C, tal que (A C B) 0 M.

P.3.a. Existe un elemento 0 en M, tal que A + 0 = A para toda A 0 M.

P.3.b. Existe un elemento 1 en M, tal que A C 1 = A para toda A 0 M.

1. INTRODUCCIN A LOS CIRCUITOS LGICOS 1.1 LGEBRA DE BOOLE R. ESPINOSA R. y P. FUENTES R. 1-3

P.4.a. Para toda (A, B) 0 M; A + B = B + A P.4.b. Para toda (A, B) 0 M; A C B = B C A P.5.a. Para toda (A, B, C) 0 M; A + (B C C) = (A + B) C (A + C) P.5.b. Para toda (A, B, C) 0 M; A C (B + C) = (A C B) + (A C C) P.6.a. Para todo elemento A 0 M, existe un elemento, tal que: P.6.b. Para todo elemento A 0 M, existe un elemento, tal que: P.7. Existen por lo menos (A, B) 0 M, tal que: Se habr observado cierta similitud entre estos postulados y los del lgebra ordinaria. Ntese sin

embargo, que la primera ley distributiva P.5.a. No es vlida en el lgebra ordinaria y que tampoco existe ningn elemento en dicha lgebra.

Tambin se notar que los postulados de Huntington se presentaron por pares. Una observacin ms detenida, muestra que existe una dualidad entre + y C, lo mismo que entre 1 y 0. Si el smbolo + se substituye por C y C por +, as como todos los 1 se sustituyen por 0 y todos los 0 por 1 en cualquiera de los postulados de cada par, el resultado es el otro postulado. A causa de esta dualidad fundamental, cada teorema que se presenta tendr su dual que se obtendr efectuando la sustitucin mencionada; por tanto, la demostracin de un teorema implica la validez De su teorema dual. Forma Estndar de las Expresiones Booleanas Funcin lgica es una expresin booleana que relaciona variables lgicas directa o complementada por medio de operaciones AND y OR. Todas las expresiones booleanas, independientemente de su forma, pueden convertirse en cualquiera de las dos formas estndar: Suma de productos o Suma de MinTerms. Producto de sumas o Producto de MaxTerms. Esto posibilita que la evaluacin, simplificacin e implementacin de las expresiones booleanas sea mucho ms sistemtica y sencilla. Es la suma de dos o ms productos mediante la adicin booleana.AB + ABCA + ABC + ACUna barra no puede extenderse sobre ms de una variable: Vlido: ABC No vlido: ABC

MAPAS DE KARNAUGH Un mapa de Karnaugh proporciona un mtodo sistemtico de simplificacin de expresiones booleanas. Aplicado adecuadamente genera las expresiones suma de productos y producto de sumas ms simples posibles. Un mapa de Karnaugh es similar a una tabla de verdad, ya que muestra todos los posibles valores de las variables de entrada y la salida resultante para cada valor.

Mapas de Karnaugh de Tres Variables (II) Representacin de un mapa de Karnaugh de tres variables vaco (matriz de 8 celdas) y con los trminos producto estndar representados para cada celda:

Mapas de Karnaugh de Tres Variables (I)

Es un conjunto de 8 celdas. Se utilizan A, B y C para denominar las variables, aunque se podran usar otras letras. Los valores binarios de A y B se encuentran en la parte izquierda y los valores de C en la parte superior. El valor de una determinada celda es: el valor binario de A y B, en la parte izquierda de la misma fila combinado con el valor de C en la parte superior de la misma columna

Mapas de Karnaugh de Cuatro Variables (II) Representacin de un mapa de Karnaugh de cuatro variables vaco (matriz de 16 celdas) y con los trminos producto estndar representados para cada celda:

Simplificacin de una Suma de Productos Mediante el Mapa de Karnaugh El proceso que genera una expresin que contiene el menor nmero posible de trminos con el mnimo nmero de variables se denomina minimizacin. Despus de haber obtenido el mapa de Karnaugh de una suma de productos, se deben seguir tres pasos para obtener la expresin suma de productos mnima: Agrupar los 1s. Determinar el trmino producto correspondiente a cada grupo. Sumar los trminos productos obtenidos

Agrupacin de 1s (I) La finalidad es maximizar el tamao de los grupos y minimizar el nmero de estos grupos. Reglas: 1. Un grupo tiene que contener 1, 2, 4, 8 16 celdas. 2. Cada celda de un grupo tiene que ser adyacente a una o ms celdas del mismo grupo, pero no todas las celdas del grupo tienen que ser adyacentes entre s. 3. Incluir siempre en cada grupo el mayor nmero posible de 1s de acuerdo con la regla 1. 4. Cada 1 del mapa tiene que estar incluido en al menos un grupo. Los 1s que ya pertenezcan a un grupo pueden estar incluidos en otro, siempre que los grupos que se solapen contengan 1s no comunes.

SUMADORES

En electrnica un sumador es un circuito lgico que calcula la operacin suma. En los computadores modernos se encuentra en lo que se denomina Unidad aritmtico lgica (ALU). Generalmente realizan las operaciones aritmticas en cdigo binario decimal o BCD exceso 3, por regla general los sumadores emplean el sistema binario. En los casos en los que se est empleando un complemento a dos para representar nmeros negativos el sumador se convertir en un sumador-sustractor (Adder-subtracter). Las entradas son A, B, Cin que son las entradas de bits A y B, y Cin es la entrada de acarreo. Por otra parte, la salida es S y Cout es la salida de acarreo.

MEDIO RESTADOR Y SUMADOR COMPLETO

Decodificador descodificador es un circuito combinaciones, cuya funcin es inversa a la del codificador, esto es, convierte un cdigo binario de entrada (natural, BCD, etc.) de N bits de entrada y M lneas de salida (N puede ser cualquier entero y M es un entero menor o igual a 2N), tales que cada lnea de salida ser activada para una sola de las combinaciones posibles de entrada. Estos circuitos, normalmente, se suelen encontrar como decodificador / demultiplexor. Esto es debido a que un demultiplexor puede comportarse como un decodificador. Si por ejemplo tenemos un decodificador de 2 entradas con 2 2=4 salidas, en el que las entradas, su funcionamiento sera el que se indica en la siguiente tabla, donde se ha considerado que las salidas se activen con un "uno" lgico

MULTIPLEXORES Multiplexar es pasar informacin de muchos canales o lneas a pocos canales o lneas.

Un MULTIPLEXOR (MUX) es un circuito combinaciones que selecciona una entrada y la transfiere a la salida. La seleccin de la entrada, o dato, se realiza segn un conjunto de valores de las variables de control.

Poseen por tanto, n entradas de seleccin, para 2n entrada de datos, proporcionando dos salidas: una para el dato directo y otra para el dato negado. A continuacin se presenta la tabla de funcionamiento y el Smbolo lgico estndar para un multiplexor de 8 a 1 lneas. Se trata del circuito 74X151, con entrada de habilitacin activa a nivel bajo.

DEMULTIPLEXORES

En realidad no existen como tales, sino que vienen definidos por los decodificadores/demultiplexores.

La funcin que debe realizar es la inversa de la que realiza el MUX, o sea, debemos seleccionar una salida por donde transmitir el dato de la entrada. Por tanto, el circuito constar de 1 entrada de datos, n entradas de seleccin de salida, y 2n salidas.

El Decodificador/DEMUX 74138 que ya conocemos utiliza su entrada de habilitacin G1 para entrada de Datos:

GAL

GAL (Generic Array Logic), en espaol Arreglo Lgico Genrico, son un tipo de circuito integrado, de marca registrada por Lattice Semiconductor, que ha sido diseados con el propsito de sustituir a la mayora de las PAL, manteniendo la compatibilidad de sus terminales. Una GAL permite implementar cualquier expresin en suma de productos con un nmero de variables definidas, por ejemplo este diagrama muestra la estructura bsica de una GAL para dos variables de entrada y una salida.

El proceso de programacin consiste en activar o desactivar cada celda E2CMOS con el objetivo de aplicar la combinacin adecuada de variables a cada compuerta AND y obtener la suma de productos

La salida de compuertas AND se introducen en las macro celdas lgicas de salida OLMC que contienen compuertas OR y lgica programable.

Un FPGA (del ingls Field Programmable Gate Array) es un dispositivo semiconductor que contiene bloques de lgica cuya interconexin y funcionalidad puede ser configurada 'in situ' mediante un lenguaje de descripcin especializado. La lgica programable puede reproducir desde funciones tan sencillas como las llevadas a cabo por una puerta lgica o un sistema combinaciones hasta complejos sistemas en un chip. Las FPGAs se utilizan en aplicaciones similares a los ASICs sin embargo son ms lentas, tienen un mayor consumo de potencia y no pueden abarcar sistemas tan complejos como ellos. A pesar de esto, las FPGAs tienen las ventajas de ser reprogramables (lo que aade una enorme flexibilidad al flujo de diseo), sus costes de desarrollo y adquisicin son mucho menores para pequeas cantidades de dispositivos y el tiempo de desarrollo es tambin menor.

Generador de pulsos Es uno de los Circuitos Integrados ms famosos, de los ms utilizados. Segn el tipo de fabricante recibe una designacin distinta tal como TLC555, LMC555,

uA555, NE555C, MC1455, NE555, LM555, etc. aunque se lo conoce como "el 555" y ya todos saben de que se est hablando.

Respecto al formato o encapsulado, puede ser circular metlico, hasta los SMD, pasando por los DIL de 8 y 14 patillas.

Existen versiones de bajo consumo con el mismo patillaje y versiones dobles, es decir que contienen 2 circuitos iguales en su interior, que comparten los terminales de alimentacin y se conocen con la designacin genrica de 556, observa la siguiente imagen...

UNA SEAL DE RELOJ (en ingls clock signal, o simplemente clock) es en la electrnica digital una seal binaria, que sirve para coordinar las acciones de varios circuitos, en especial para la sincronizacin de biestables en sistemas digitales complexos. Segn su aplicacin, la seal de reloj se puede repetir con una frecuencia predefinida o tambin ser aperidica. En los casos en los que hay una seal de reloj, suele darse por medio de un generador de reloj. La seal oscila entre un estatus alto y bajo, que se caracteriza por un perodo de oscilacin o bien por un valor de cambio, la frecuencia de reloj y el ciclo de trabajo. Los circuitos que utilizan la seal de reloj para sincronizarse, pueden, segn su construccin, basarse en el flanco ascendente o en el descendente de la seal (en el caso de DDR se utilizan ambos flancos).

En hojas tcnicas y diagramas a la seal de reloj se le suele describir como CLK. La mayora de circuitos integrados complejos requieren una seal de reloj, para sincronizar diferentes partes del chip y equilibrar los retrasos de las puertas. Dado que los chips son cada vez ms complejos, es cada vez ms difcil proveer de una seal de reloj precisa y homognea en todos los sitios. Ejemplo ilustrativo de este problema son los microprocesadores, componente central de los ordenadores modernos. Para los transistores se suele indicar la frecuencia, hasta la que es posible amplificar una pequea seal. sta suele ser diez veces mayor a la frecuencia de reloj. Flip-Flop Siendo los Flip-Flop las unidades bsicas de todos los sistemas secuenciales, existen cuatro tipos: el RS, el JK, el T y el D. Y los ltimos tres se implementan del primero pudindose con posterioridad con cualquiera de los resultados confeccionar quienquiera de los restantes. Todos pueden ser de dos tipos, a saber: Flip-Flop activado por nivel (FF-AN) o bien Flip-Flop maestro-esclavo (FF-ME). El primero recibe su nombre por actuar meramente con los "niveles" de amplitud 0-1, en cambio el segundo son dos FF-AN combinados de tal manera que uno "hace caso" al otro. Un circuito flip-flop puede mantener un estado binario indefinidamente (Siempre y cuando se le este suministrando potencia al circuito) hasta que se cambie por una seal de entrada para cambiar estados. La principal diferencia entre varios tipos de flip-flops es el numero de entradas que poseen y la manera en la cual las entradas afecten el estado binario.

Flip-Flop RS

Tiene tres entradas, S (de inicio), R (reinicio o borrado) y C (para reloj). Tiene una salida Q, y a veces tambin una salida complementada, la que se indica con un crculo en la otra terminal de salida. Hay un pequeo tringulo en frente de la letra C, para designar una entrada dinmica. El smbolo indicador

dinmico denota el echo de que el flip-flop responde a una transicin positiva ( de 0 a 1) de la seal de reloj. Su unidad bsica (con compuertas NAND o NOR) se dibuja a continuacin que, como acta por "niveles" de amplitud (0-1) recibe el nombre de Flip-Flop RS activado por nivel (FF-RS-AN). Cuando no se especifica este detalle es del tipo Flip-Flop RS maestro-esclavo (FF-RS-ME). Sus ecuaciones y tabla de funcionamiento son Q = S + q R* RS=0

Flip-Flop JK

Un flip-flop JK es un refinamiento del flip-flop SR en el sentido que la condicin indeterminada del tipo SR se define en el tipo JK. Las entradas J y K se comportan como las entradas S y R para iniciar y reinicia el flip-flop, respectivamente. Cuando las entradas J y K son ambas iguales a 1, una transicin de reloj alterna las salidas del flip-flop a su estado complementario. Su unidad bsica se dibuja a continuacin que, como acta por "niveles" de amplitud (0-1) recibe el nombre de Flip-Flop JK activado por nivel (FF-JK-AN). Cuando no se especifica este detalle es del tipo Flip-Flop JK maestro-esclavo (FF-JK-ME). Su ecuacin y tabla de funcionamiento son Q = J q* + K* q

Flip-Flop T

El flip-flop T se obtiene del tipo JK cuando las entradas J y K se conectan para proporcionar una entrada nica designada por T. El flip-flop T, por lo tanto, tiene slo dos condiciones. Cuando T = 0 ( J = K = 0) una transicin de reloj no cambia el estado del flip-flop. Cuando T = 1 (J = K = 1) una transicin de reloj complementa el estado del flip-flop. Su unidad bsica se dibuja a continuacin que, como acta por "niveles" de amplitud (0-1) recibe el nombre de Flip-Flop T activado por nivel (FF-T-AN). Cuando no se especifica este detalle es del tipo Flip-Flop T maestro-esclavo (FF-T-ME). Su ecuacin y tabla de funcionamiento son qQ = T

A partir del FF-RS-AN puede disearse este FF-T-AN siguiendo los pasos mostrados anteriormente, pero no tiene sentido ya que al ser activado por nivel no tiene utilidad.

Flip-Flop D

El flip-flop D (datos) es una ligera modificacin del flip-flop SR. Un flip-flop SR se convierte a un flip-flop D insertando un inversor entre S y R y asignando el smbolo D a la entrada nica. La entrada D se muestra durante la ocurrencia de uan transicin de reloj de 0 a 1. Si D = 1, la salida del flip-flop va al estado 1, pero si D = 0, la salida del flip-flop va a el estado 0. Su unidad bsica se dibuja a continuacin que, como acta por "niveles" de amplitud (0-1) recibe el nombre de Flip-Flop D activado por nivel (FF-D-AN). Cuando no se especifica este detalle es del tipo Flip-Flop D maestro-esclavo (FF-D-ME) comnmente denominado tambin Cerrojo Latch. Su ecuacin y tabla de funcionamiento son Q=D

A partir del FF-RS-AN puede disearse este FF-D-AN siguiendo los pasos mostrados anteriormente, pero no tiene sentido ya que al ser activado por nivel no tiene utilidad.

Flip-Flop Maestro-Esclavo

Todos los cuatro FF-AN pueden implementarse siguiendo las rdenes de un FF-D-AN a su entrada como muestra el dibujo esquemtico. El FF-D hace de puerta (Cerrojo). Cada pulso en el clock har que la seal entre al sistema (como salida del FF-D-AN) y salga la misma a la salida final respetando la tabla de verdad del FF esclavo. As, si el esclavo es un FF-X-AN, todo el conjunto se comporta como un FF-X-ME aqu X puede ser un FF o bien tambin un sistema secuencial complejo.

CONTADOR DECIMAL. Atendiendo a que la velocidad es muy importante y en cualquier momento se puede requerir esta capacidad, nos centraremos en la opcin de la serie 74HCTLS192 o en su defecto por la 74LS192 ya que se trata del mismo dispositivo, lo nico que cambia es el consumo, la tensin de trabajo y poco ms. El dispositivo 'LS192, constituye un contador asncrono reversible con entrada paralela, preparado para efectuar el conteo decimal en cdigo binario BCD, que adems dispone de preseleccin de carga.

Para cargar las salidas a un determinado estado, se aplican los datos a las entradas DA, DB, DC y DD y se aplica el nivel bajo L a la patilla 11 'load', esta operacin de carga es independiente del reloj y del estado del contador. Por ejemplo: cargar el preselector a 9, esto quiere decir que en las lneas de datos pondremos los siguientes niveles: 1001, donde DA1, DB0, DC0 y DD1, es decir DA-DD al positivo y DB-DC al negativo. En la figura 07, se muestra la disposicin de las patillas de este circuito integrado. Para ms informacin, a cerca del funcionamiento de este dispositivo, 74HCT192 puedes pulsar sobre este enlace, donde se presenta una aplicacin y la configuracin de las seales de entrada y salida E/S en modo serie o cascada.

Fig. 07 El circuito de la figura 08, es una aplicacin prctica que, se complementar aadiendo 3 puertas NAND correspondientes a un 74LS00, una de ellas conectada a su vez como inversor, o sea, sus dos entradas unidas adems de unos pocos elementos, como 1 pulsador de puesta a cero PAC, 4

preselectores, 1 conmutador arriba-abajo 'Up-Down' y unas resistencias de 1k5 de 1/4 W. Tambin se puede hacer que el contador se ponga a una determinada cuenta de forma automtica, esto lo dejo para que se investigue por quien este interesado. Obsrvese que, las entradas ABCD, estn forzadas a positivo

(permanentemente conectadas a +Vcc mediante sendas resistencias [1k W]), esto es necesario, si queremos que no haya saltos en la cuenta, debidos a diversas seales e interferencias espreas que, suelen afectar bastante a los circuitos integrados cuando no se utiliza este mtodo, adems recomiendo, aunque no se muestra en el circuito, aplicar un condensador cermico tipo

lenteja de 100nf/100V (marcados como 104), a los pines de alimentacin de cada circuito integrado del montaje.

Fig.08 Esquema del contador para 1 dgito.

UN REGISTRO

Es un circuito digital secuencial (es decir, que los valores de sus salidas dependen de sus entradas y de los valores anteriores) consistente en una serie de biestables, generalmente de tipo D, conectados en cascada, que basculan de forma sncrona con la misma seal de reloj. Segn las conexiones entre los biestables, se tiene un desplazamiento a la izquierda o a la derecha de la informacin almacenada. Es de sealar que un desplazamiento a la izquierda de un conjunto de bits, multiplica por 2, mientras que uno a la derecha, divide entre 2. Existen registros de desplazamiento bidireccionales, que pueden funcionar en ambos sentidos. Los registros universales, adems de bidireccionales permiten la carga en paralelo.

También podría gustarte