Está en la página 1de 288

DIODE SEMICONDUCTOARE

-diode redresoare
-diode de detectie
-diode de comutatie
-diode varicap
-diode stabilizatoare
-diode tunel
-diode Schottky
Diode redresoare. Un exemplu tehnologic
Diode redresoare. Caracteristica statica, Ia=Ia(Ua)
Comutatia
directa
A A di
(t)
dt
=
U
L
(4.8)
A
s
A
adm
di
(t)
dt

k
(
di
dt
) s (4.9)
min L

U
k
(
di
dt
)
A
s
A
adm
> (4.10)
Calculul inductivitatii minime necesare in
circuitul diodei pentru a preveni depasirea pantei
critice de crestere a curentului la intrarea in
conductie.
Valabil si la dispozitivele semiconductoare
multijonctiune de putere !
Caracteristicile
dinamice la
revenirea inversa
a diodelor
redresoare.
rr
RMAX ri
Q =
1
2

I

t
(4.11)
RMAX ri
A
I
=
t

di
dt
(4.12)
rr
ri
2 A
Q =
1
2

t

di
dt
(4.13)
ri
rr
A
t
=
2 Q
di
dt
(4.14)
RMAX
rr
A
I
= 2 Q
di
dt
(4.15)
1
2
L
I
=
1
2
C
k

U RMAX
2
sU
2
RRM
2
(4.16)
C = L
I
k

U

10
[ F]
RMAX
2
sU
2
RRM
2
6
(4.17)
Calculul capacitatii
condensatorului din
circuitul de protectie
al diodelor redresoare
si dispozitivelor
semiconductoare
multijonctiune de
putere.
Diode varicap. Simbolizare si caracteristica de iesire,
C = C(Ur). Aplicatie a jonctiunii p+n
Diode stabilizatoare - Zener. Simboluri uzuale.
Caracteristica statica. Fenomenul Zener, <5,7V si
multiplicare in avalansa a purtatorilor de sarcina, >5,7V.
Diode tunel. Caracteristica statica. Tehnologic, aplicatie a
jonctiunii de tip p+n+.
Diode Schottky. Simbol. Caracteristica statica. Aplicatie a
contactului metal-semiconductor de tip redresor.
-Circuite de multiplicare a tensiunii
-Circuite de prestabilizare
-Circuite de masurare
-Aplicatii ale diodelor varicap
-Redresoare simple
Circuitul dublor de tensiune de tip Greinacher-Delon
Factorul relativ de stabilizare:
Factorul de filtrare al circuitului este:
Factorul relativ de stabilizare al tensiunii continue:
S =
U
/
U
U
/
U
=
U
U

U
U
= s
U
U
in in
e e
in
e
e
in
e
in
A
A
A
A
(4.33)
s =
R +
r
r

R
r
z
z z
~ (4.34)
S
R
r

U
U z
e
in
~ (4.35)
Prestabilizator simplu cu dioda Zener
Explicativa pentru calculul circuitului de prestabilizare
Un exemplu de aplicatie a diodelor varicap.
Redresorul monofazat monoalternanta
Explicativa privind principiul filtrarii capacitive a
tensiunii de iesire in cazul redresorului monofazat
monoalternanta.
Redresorul monofazat cu nul
Redresor dubla alternanta
Redresorul monofazat in punte. Puntea Graetz.
Redresor dubla alternanta.
TRANZISTOARE BIPOLARE
Ce este un tranzistor ? Un dispozitiv electronic cu
care se pot amplifica sau conecta semnale electrice.
Ce fel de tranzistoare gasim ? Pe substrat de germaniu
sau de siliciu. De tip NPN sau PNP !
De ce bipolare? Pentru ca conductia curentului
electric in diferitele zone ale dispozitivului se face,
respectiv, cu electroni sau goluri !
Simbolul si schema echivalenta cu diode pentru
tranzistorul de tip NPN.
Atentie: schema echivalenta serveste numai pentru
retinerea modului de polarizare corecta !
Simbolul si schema echivalenta pentru tranzistoarele
PNP.
Observatia ramine valabila: schema echivalenta numai
pentru intelegerea polarizarii corecte.
Polarizarea corecta a tranzistoarelor NPN
Polarizarea corecta a unui tranzistor PNP.
R
U
I
BE
BE
B
=
1
1
(5.2)
r
u
i
u
i
BE
BE
B
BE
B
U
CE
cst
= =

(
(
=
A
A
c
c
(5.3)
Caracteristica de intrare a tranzistorului bipolar. Fata de o
simpla jonctiune, tensiunea baza-emitor, la curent de baza
constant depinde si de tensiunea colector-emitor prin
factorul v
r
, neglijabil in aplicatiile practice !
R
U
I
CE
CE
C
=
1
1
(5.7)
r
u
i
u
i
CE
CE
C
CE
C
I
B
cst
= =

(
(
=
A
A
c
c
(5.8)
|
c
c
= =

(
(
=
A
A
i
i
i
i
C
B
C
B
U
CE
cst
(5.9)
Familia de caracteristici de iesire a tranzistorului bipolar.
Important: factorul de amplificare in curent, de semnal
mic, depinde de valoarea curentului de colector !
In plus, factorul de amplificare in curent, de semnal mic,
mai depinde si de frecventa semnalului prelucrat.
Pentru a putea amplifica sau comuta semnale electrice cu
ajutorul tranzistorului bipolar trebuie sa stim a deplasa
controlat punctele de functionare pe caracteristicile de
intrare si iesire, exploatind proprietatea dispozitivului de a
amplifica curentul din circuitul de baza.
Din pacate caracteristicile sunt neliniare. Deci efectul
controlului depinde de zona in care il facem. Trebuie, prin
urmare sa liniarizam caracteristicile si sa lucram cu
semnale mici, in zona liniarizata. Rezulta modelul de
semnal mic al tranzistorului bipolar:
du
BE
= r
BE
di
B
+ v
r
du
CE
di
C
= 3 di
B
+ ( 1 / r
CE
) du
CE
Acest lucru nu este necesar cind lucram in comutatie:
Comutatia directa la tranzistoarele bipolare.
Comutatia inversa la tranzistoarele bipolare.
Asupra circuitelor in regim de comutatie vom reveni la capitolul de
circuite de impulsuri si la Electronica de putere
Inca tot nu stim ce putem face cu un tranzistor bipolar!
Asa cum il cunoastem pina acum nu este decit un cristal
special de siliciu, cu proprietati speciale,scump dar inutil !
Pentru a-l pune la lucru trebuie sa procedam la rezolvarea
celor doua probleme specifice electronicii:
1.Trebuie rezolvam functia electronica secundara de polarizare. Aceasta
inseamna sa aducem, in lipsa unui semnal de prelucrat, punctele statice de
functionare in locurile dorite de noi. Apoi urmeaza sa calculam parametri
specifici modelului de semnal mic, valabili in zona liniarizata din jurul
punctelor de functionare. Altfel spus solutionam problema de semnal
mare.
2.Folosind ecuatiile liniare specifice modelului de semnal mic, asociate
cu celelalte ecuatii de tensiune si curent din circuit, trebuie sa stabilim
functia electronica principala, conform careia se opereaza asupra
semnalului de intrare. Solutionam problema de semnal mic.
De fapt pe noi ne intereseaza numai a doua problema.
Ea nu poate fi insa solutionata inainte de rezolvarea
primeia caci rezolvarea ei aduce tranzistorul in stare de
functionare !
De un mare ajutor ne este aici stabilirea conexiunii in
care opereaza tranzistorul:
EC - emitor comun
CC - colector comun
BC - baza comuna
Conexiunea este determinata de acel electrod al
dispozitivului care este conectat la potential electric
constant, in particular la potentialul masei circuitului.
Polarizarea tranzistorului bipolar in conexiune emitor-
comun, cu divizor rezistiv de tensiune continua.
Atentie: lucram in curent continuu si notam totul cu litere
mari !
Stabilirea punctului static de functionare prin injectare de
curent de baza. Tot conexiune EC. Generator simplu de curent
constant.
Polarizare prin divizor rezistiv de tensiune continua
pentru tranzistoare functionind in conexiune colector
comun.
Polarizarea tranzistorului in conexiune colector comun in
cazul alimentarii circuitului de la sursa dubla de tensiune
continua - simplificari majore, stabilitate.
Polarizarea tranzistorului in conexiune baza-comuna prin
reactie negativa de tensiune continua.
Cel mai simplu circuit: Amplificatorul de tensiune cu un
singur tranzistor in conexiune EC. Conexiunea emitor-
comun simpla.
Schema echivalenta a amplificatorului studiat.
Acelasi amplificator prevazut insa cu reactie negativa
de tensiune.
Si inca o data acelasi amplificator de tensiune, dar cu
reactie negativa de curent !
Amplificatorul in conexiune colector comun. Circuitul
repetor pe emitor. Circuitul de adaptare de impedanta.
Amplificatorul de curent.
Si in sfirsit, ultimul circuit fundamental, amplificatorul de
tensiune cu tranzistor bipolar in conexiune baza-comuna.
TRANZISTOARE CU EFECT DE CIMP SI
CIRCUITE FUNDAMENTALE
Tranzistoarele cu efect de cimp -TEC - FET:
a)
-cu jonctiune - J-FET, TEC-J
-cu grila izolata - IG-FET, (MOSFET)
b)
-cu canal n
-cu canal p
Sunt tranzistoare unipolare !
Principiul constructiv si functional al tranzistoarelor cu efect de
cimp cu jonctiune, TEC - J. Exemplificare in cazul
tranzistorului cu canal n.
Caracteristicile de iesire ale tranzistorului TEC-J cu canal
n. Citeva concluzii: conduce la tensiune de grila nula, se
polarizeaza cu tensiune de drena pozitiva, se controleaza cu
tensiune de grila negativa.
r
u
i
u
i
DS
DS
D
DS
D
U
GS
cst
= =

(
(
=
A
A
c
c
(6.1)
S
i
u
I I
U U
i
u
D
GS
D D
GS GS
D
GS
U
DS
cst
= =

(
(
=
A
A
1 2
1 2
c
c
(6.2)
Caracteristica de transfer a tranzistorului TEC-J cu canal
n. Definirea parametrilor specifici.
Principiul constructiv si functional al tranzistoarelor cu
efect de cimp cu grila izolata. Exemplificare in cazul
tranzistorului cu canal n
Caracteristicile de iesire ale tranzistorului IG-FET cu canal
n. Regimuri specifice de functionare !
Din nou clasificari ! Foarte importante insa !
6.2.Model de semnal mic
d i
G
~ 0 (6.5)
( )
i i u u
D D GS DS
= , (6.6)
d i
i
u
d u
i
u
d u
D
D
GS
U
DS
cst GS
D
DS
U
GS
cst DS
=

(
(
+

(
(
= =
c
c
c
c
(6.7)
d i S d u
r
d u
D GS
DS
DS
= +
1
(6.8)
6.3.Analogia formal cu tranzistoarele bipolare i concluzii generale.
d i
d u
r
B
BE
BE
= (6.9)
d i
r
d u
r
d u
C
BE
BE
CE
CE
= +
| 1
(6.10)
Cunoscind modelul de semnal mic si aplicind analogia
formala veti rezolva usor orice circuit cu tranzistoare cu efect
de cimp !
( )
A S R r
r r
r R r
D DS
in GS
ies D DS
=
= ~
=
(6.11)
Aplicatii. Amplificator simplu in conexiune sursa comuna.
Al doilea exemplu. Amplificator de semnal alternativ cu
tranzistor cu efect de cimp cu jonctiune.
DISPOZITIVE SEMICONDUCTOARE
MULTIJONCTIUNE
Au aparut in deceniul 6 al secolului trecut pentru a satisface
cerintele din electronica de putere, unde performantele in
tensiune si curent ale tranzistoarelor bipolare si cu efect de
cimp nu permiteau rezolvarea problemelor ridicate de
aplicatiile industriale.
Elementul care sta la baza realizarii dispozitivelor
semiconductoare multijonctiune este structura cu patru
straturi, pnpn, dezvoltata de Shockley, care a permis
punerea la punct in anul 1958 a primului tiristor, de catre
firma General Electric.
Dispozitivele multijonctiune au dominat electronica de putere
aproape o jumatate de secol !
Caracteristica statica a structurii pnpn. Discontinua.
Neliniara. Cu o portiune caracterizata de rezistenta
diferentiala negativa. Reactie pozitiva functionala interna.
SUS.
Schema echivalenta cu tranzistoare bipolare a tiristorului
de putere. Structura Shockley, prevazuta cu grila de
comanda pe partea catodica.
Simbolul si caracteristica statica a tiristorului de putere.
Caracteristica statica a circuitului de comanda, circuitul
de grila, circuitul de intrare. Jonctiune pn. Dispersie
tehnologica mare de parametri.
Comutatia directa - amorsarea - tiristorului de putere.
Comutatia inversa - blocarea - tiristorului de putere.
Citeva concluzii importante !
-tiristorul de putere functioneaza numai in regim
de comutatie. Nu prezinta o zona activa de functionare.
-Amorsarea se poate face prin mai multe mijloace.
Singura metoda aplicabila este comanda pe orizontala.
Control de faza. Control in functie de timp. Conditii
pentru amorsare sigura.
-Blocarea tiristorului impune scaderea controlata
a curentului anodic sub valoarea sa de mentinere. Se face
in partea de putere. Procesul depinde de sursa tensiunii de
comutatie, (retea de intrare, de iesire, intern). Amanunte
la Electronica de putere.
Principiul controlului de faza, comanda pe orizontala.
Cazul redresarii controlate.
Controlul de faza aplicat la reglarea valorii efective a
tensiunii alternative.
a)
b)
Comanda in pachete de alternante.
Principiul functional al unui circuit de comanda
pentru tiristoare. Detaliile constructive ale blocurilor
se vor putea lamuri dupa parcurgerea capitolelor de
circuite de impulsuri.
Surse regenerabile de energie



- 1 -
4. Energia solar fotovoltaic
4.1. Introducere
n capitolul 3 am vzut cum energia solar poate fi folosit pentru generarea energiei
electrice. Radiaia solar se transform n energie termic la temperaturi mari, apoi se obin
vapori, care produc n turbin energie mecanic, n sfrit, antrennd un generator, obinem
energie electric.
Capitolul ce urmeaz este consacrat conversiei directe a radiaiei solare n energie electric.
Tehnologia conversiei directe exclude transformrile intermediere: radiaia solar n
energie termic, energia termic n energie mecanic, energia mecanic n energie electric
de curent alternativ. Conversia direct se realizeaz cu ajutorul materialelor solide
semiconductoare, folosind efectul fotovoltaic. Generatorul fotovoltaic, aa numita celul
fotovoltaic, spre deosebire de generatorul electromecanic, produce energie electric de
curent continuu. Excluderea din lanul tehnologic a transformrilor intermediare, lipsa
micrii, zgomotului, vibraiilor, construcie modular, durata de exploatare de peste 25 de
ani, ne face s afirmm c viitorul energeticii descentralizate va aparine tehnologiei
fotovoltaice. Nu ntmpltor revista englez The Economist din 31 August 1991 meniona
referitor la conversia fotovoltaic a energiei solare: Din toate sursele alternative de
energie vntul, valul de mare, maree, geotermic probabil cea mai promitoare
conversie a energiei solare n electricitate este cea fotovoltaic.
Dup un scurt istoric a dezvoltrii tehnologiei fotovoltaice sunt descrise proprietile i
caracteristicile celulelor i modulelor fotovoltaice, apoi urmeaz analiza unui sistem
fotovoltaic i componentelor acestuia.
De asemenea, se prezint principiul general de dimensionare a unui sistem fotovoltaic
completat cu exemplu numeric, domeniile de utilizare a energiei electrice fotovoltaice,
accentul punndu-se pe eventualii consumatori de energie electric PV din Republica
Moldova. Sunt descrise succint sisteme PV elaborate la catedra de Electromecanic a UTM.
Capitolul se finalizeaz cu analiza economic a sistemelor PV pe baza metodei LCC - Life
Cycle Cost costul pe durata de via. n cazul Republicii Moldova s-a calculat costul per
unitate a energiei electrice produs de module PV i un grup electrogen. Se prezint i o
comparaie cu a treia variant alternativ de alimentare a consumatorilor - extinderea
reelelor electrice publice de joas sau medie tensiune. Analiza se face n funcie de
consumul diurn de energie electric.
Energia solar fotovoltaic



- 2 -
4.2. Scurt istoric a tehnologiei fotovoltaice
Termenul fotovoltaic deriv din combinaia cuvntului grec photos ceia ce nseamn
lumin i numele unitii de msur a forei electromotoare volt. Astfel, tehnologia
fotovoltaic (PV) descrie generarea electricitii cu ajutorul luminii.
Descoperirea efectului fotovoltaic este atribuit lui fizicianului francez Edmond Becquerel,
care n anul 1839, efectund experimente cu bateria umed a observat c tensiunea
generat de baterie crete dac placa de argint este expus radiaiei solare. Primul raport
asupra efectului fotovoltaic sau fotoelectric, cum era numit la timpul respectiv, a fost fcut
de savanii din Cambridge W.Adams i R. Day n 1877 unde sunt descrise schimbrile care
au loc ntr-o plac de selenium expus luminii. n experienele sale Heinrich Hertz a
observat n anul 1887, c o plac din zinc se ncarc cu sarcin pozitiv dac este expus
unei radiaii ultraviolete. Fenomenul se datoreaz aceluiai efect fotoelectric: sub aciunea
razelor ultraviolete din metal sunt dezbtui electroni, ca rezultat metalul se ncarc pozitiv.
Prima celul PV a fost construit de electricianul american Charles Fritts n 1883 pe baz
de selenium. Construcia celulei a fost patentat n anul 1884. Trebuie de menionat, c
construcia celulei era foarte asemntoare cu celulele de astzi. Dar eficiena celulei era
mai mic de un procent i nu a obinut o utilizare industrial.
La mijlocul secolului XX savanii i inginerii au revenit asupra studiului efectului
fotovoltaic care are loc n semiconductoare. n anul 1953 echipa de ingineri de la Telephone
Laboratories (Bell Labs) D. Chapin, C. Fuller i G. Pearson creeaz celula PV din siliciu
dopat cu o eficien cu mult mai mare dect celula din selenium. n urmtorul an aceiai
echip construiesc o celul din siliciu cu un randament de 6 %. n acelai timp apar i
primii consumatori de energie fotovoltaic sateliii artificiali. n anul 1958 celulele PV au
fost instalate la bordul satelitului american Vanguard 1 i serveau pentru alimentarea unui
emitor radio. Pn n prezent celulele PV sunt cele mai indicate surse de energie pentru
tehnica spaial.
Competiia ntre SUA i ex-URSS din anii 60 ai secolului trecut n domeniul surselor de
alimentare cu energie electric a sateliilor a condus la o dezvoltare spectaculoas a
tehnologiei PV i s-a produs o ruptur n dependena rigid a energeticii descentralizate de
sursele tradiionale: grupuri electrogene, baterii de acumulatoare sau baterii uscate. S-a
nceput o nou competiie pentru aducerea generatorului PV napoi pe pmnt. Guvernele
rilor industrializate i numeroase companii particulare au investit miliarde de dolari n
progresul tehnologiei PV. n figura 4.1 se prezint evoluia producerii mondiale de celule i
Surse regenerabile de energie



- 3 -
module PV i a costului unui
watt n perioada 1990 2004.
Dup anul 1996 constatm o
cretere extraordinar a
producerii mondiale. n
ultimii trei ani, 2002 2004,
creterea n raport cu anul
precedent a fost, respectiv
egal cu 28.2, 79 i 60,5 %.
Pe o perioad de 15 ani
capacitatea mondial de
producere a modulelor PV a
crescut de circa 25 ori.
Aceast tendin se va
amplifica n anii urmtori
deoarece n noile programe
naionale se implic din ce n
ce mai mult marile companii petroliere (Shell, British Petroleum). Concomitent cu creterea
volumului de producere are loc scderea costurilor celulelor fotovoltaice. Pe o perioad de
10 ani costul unui watt a sczut de 2,35 ori. Dup anul 2000 se constat o cretere a
costului unui watt ce se explic prin
formarea unui decalaj dintre
capacitile mondiale de producere
a celulelor i de asamblare a
modulelor PV i producerea de
materie prim a siliciului pur. n
prezent, la nivel mondial, se atest o
penurie de materie prim.
Principalul material semiconductor
care se folosete pentru producerea
celulelor PV este siliciul. Cota
parte pe piaa mondial a diferitor
tehnologii de producere a celulelor
PV este prezentat n figura 4.2.
Peste 84% din producia mondial de celule PV este bazat pe siliciu policristalin i
0
500
1000
1500
2000
2500
3000
1990 1992 1994 1996 1998 2000 2002 2004
M
W
;

%
0
1
2
3
4
5
6
7
8
9
E
u
r
o
/
W
c
Capacitatea de producere, MW/an
Creterea relativ n raport cu anul 1990, %
Costul unui watt, Euro

Figura 4.1. Evoluia produciei mondiale de module PV
Si
policristalin
50,2%
Alte
0,7%
Si n straturi
subiri 5,6%
Si cristalin
34,6%
Si amorf
8,9%
Figura 4.2. Divizarea pieei mondiale n dependen de
tehnologia folosit pentru producerea celulelor PV
Energia solar fotovoltaic



- 4 -
cristalin. n prezent tehnologia siliciului
policristalin i cristalin este cea mai
avansat, asigur producerea de module
PV la scar industrial cu un randament
de 14 17 % i cu o durat de via a
modulelor de 30 de ani. Dar aceast
tehnologie are un dezavantaj esenial
potenial limitat de scdere n viitor a
costurilor de producere a celulelor PV.
Experi n domeniu consider, c costul
unui watt nu va scdea mai jos de 2
Euro [36-38]. Din acest punct de
vedere, tehnologia siliciului amorf i
a siliciului n starturi subiri are o
perspectiv mai promitoare.
Costurile unui watt produs cu aceste
tehnologii va scdea pn la 1 W -
cost limit la care energia electric
PV devine mai ieftin dect energia
electric produs din surse fosile.
Presupunem, c din aceste motive n
ultimii ani se constat o redistribuire a
pieei mondiale n favoarea
tehnologiei siliciului amorf i n
straturi subiri.
Domeniile de utilizare n rile
dezvoltate i n rile n curs de
dezvoltare sunt diferite (figurile 4.3 i
4.4). De exemplu, n rile UE se evideniaz sistemele conectate la reea cu 68 %, iar n
rile n curs de dezvoltare pot fi evideniate trei domenii principale de utilizare a energiei
electrice PV: electrificarea rural, ocrotirea sntii i pomparea apei, Toate aceste sisteme
funcioneaz n regim autonom, altfel spus, sunt sisteme descentralizate i dispersate
teritorial.
59%
16%
15%
10%
Acoperie i faade (conectate la reea)
Case isolate (neconectate la reea)
ntreprinderi isolate (neconectate la reea)
Centrale electrice (conectate la reea)

Figura 4.3. Aplicaiile sistemelor PV n rile
dezvoltate
Elictrificarea
rural
66%
Sntate
16%
Educaie
1%
Comunicaii
1%
Pomparea
apei
16%

Figura 4.4. Aplicaiile sistemelor PV
n rile n curs de dezvoltare
Surse regenerabile de energie



- 5 -
Puterea instalat la nivel mondial a
constituit n anul 2004 circa 1194
MWc, primele trei locuri fiind ocupate
de Japonia cu 51,8 %, urmat de UE cu
25,8 % i SUA cu 11,5 % (figura 4.5).
Energia electric PV este cu mult mai
scump i n majoritatea cazurilor nu
concureaz cu energia obinut din
surse fosile. Excepie fac sistemele PV
de pompare i cele destinate alimentrii
consumatorilor mici i dispersai
teritorial, n caz, dac le comparm cu
grupurile electrogene sau cu extinderea reelelor electrice publice. Din aceast cauz n
diferite ri ale lumii, n cadrul programelor naionale de promovare a energiei PV, au fost
elaborate diferite metode de susinere i subvenionare a energiei electrice PV (vezi tabelul
4.1).
Tabelul 4.1. Principalele programe naionale de promovare a energiei PV i subveniile acordate
ara Programul naional
Tariful de cumprare,
euro/kWh
Subvenii, euro/W
c
Japonia 70 000 acoperiuri solare 0,3 1,13
SUA
1 000 000 acoperiuri
solare
n.d. Difer de la stat la stat
Germania 100 000 acoperiuri solare 0,5 0,75-1,0
Marea Britanie 70 000 acoperiuri solare n.d.
n.d.
se preconizeaz
32 mln. euro
Austria - 0,72
Difer de la regiune la
regiune
Spania - 0,47
5,5 sisteme autonome
2,6 conectate la reea
Italia 10 000 acoperiuri solare 0,35-0,40 70 % din cost
Frana - 0,15-0,3 4,6
Elveia - 0,3-0,6 1,3-2,7
n.d. valoarea nu este disponibil

Japonia
51%
UE
26%
SUA
12%
Restul
lumii
11%

Figura 4.5. Distribuia puterii instalate PV n anul
2004 la nivel mondial
Energia solar fotovoltaic



- 6 -
4.3. Celula fotovoltaic i caracteristicile ei
4.3.1. Construcia i principiul de funcionare
Celula fotovoltaic este un dispozitiv electronic, funcionarea cruia se datoreaz
purttorilor de sarcin minoritari. Ca material iniial pentru fabricare se utilizeaz
semiconductor, de obicei siliciu cristalin sau policristalin, pe suprafaa cruia prin diverse
metode tehnologice se formeaz straturi, care conin impuriti pentru a obine jonciunea p-
n. n figura 4.6 este prezentat schema constructiv simplificat a celulei PV, avnd la baz
material semiconductor de tip p.
Figura 4.6. Schema constructiv a celulei fotovoltaice
S analizm fenomenele ce au loc, dac celula PV este expus unei radiaii incidente (figura
4.6 ). Aceast radiaie poate fi echivalat cu un flux de fotoni care au energia:
h W
f
= , (4.1)
unde, h este constanta lui Planck, iar este frecvena radiaiei. Dac energia fotonului este
suficient de mare, atunci n urma coliziunii fotonului cu un atom electronul din banda de
valen va trece n banda de conducie, devenind liber, genernd, totodat, un gol n reeaua
cristalului. Astfel, sub aciunea fotonilor are loc generarea de perechi electroni-goluri. Acest
efect se mai numete efect fotovoltaic interior. n figura 4.6 din stnga fotonul A are o
frecven mai mic i deci o energie mai mic, fotonul B are o frecven mai mare i
Surse regenerabile de energie



- 7 -
corespunztor o energie mai mare (unda electromagnetic cu frecven mic ptrunde n
material la adncimi mai mari i invers).
Purttorii de sarcin noi aprui sunt supui aciunii cmpului electric al jonciunii p-n
caracterizat printr-un anumit potenial de barier U
0
i care n dependen de tipul
semiconductorului folosit este de ordinul 0,2 - 0,7 V. Aici sarcina spaial a jonciunii va
avea rolul de separator de sarcini libere - perechi electroni-goluri. Electronii vor fi dirijai
spre zona n, golurile - spre zona p a celulei. Acesta este motivul pentru care sub influena
luminii zona p se ncarc pozitiv, zona n se ncarc negativ, ceea ce conduce la apariia unui
curent electric prin jonciune, determinat de conversia fotovoltaic a radiaiei solare. Acest
curent, circulnd prin jonciune dinspre zona n spre zona p (figura 4.6 din stnga) duce la o
cdere de tensiune U pe sarcina extern R, conectat la contactele din spate i contactul-gril
de la suprafa (figura 4.6 din dreapta). Tensiunea U n raport cu jonciunea p-n acioneaz
n sens direct i, la rndul su, va determina prin jonciune curentul diodei I
d
de sens opus
curentului fotovoltaic I
s
, care se determin cu expresia cunoscut din cursul Electronica:

, 1 exp
0
(


|
.
|

\
|
=
kT
eU
I I
d
(4.2)
unde: I
0
este intensitatea curentului de saturaie; k - constanta lui Boltzmann; T -
temperatura absolut; e - sarcina electronului.
4.3.2. Caracteristicile celulei fotovoltaice
Caracteristicile principale ale celulei PV sunt: caracteristica amper-volt I(U) sau volt-amper
U(I) i caracteristica de putere P(U). Curentul n circuitul exterior I se determin ca
diferena dintre curentul fotovoltaic I
s
i curentul diodei I
d
[27,40,41]:

, 1 exp
(


|
.
|

\
|
= =
kT
eU
I I I I I
o s d s
(4.3)
Ecuaiei (4.3) i corespunde schema echivalent simplificat a celulei PV, reprezentat n
figura 4.7 a. Dac se ine seama de rezistena R
i
de scurgeri prin izolaia celulei PV i de R
s

a elementelor conectate n serie, se poate ntocmi o schem echivalent complet a celulei
PV (figura 4.7 b). Cu tehnologiile moderne se obin celule cu R
i
~ i R
s
~ 0, nct schema
echivalent simplificat este satisfctoare.

Energia solar fotovoltaic



- 8 -
Figura 4.7. Scheme echivalente ale celulei PV: a - simplificat; b complet; c
caracteristicile celulei
Puterea electric cedat sarcinii R a unei celule PV este:

)
`

|
.
|

\
|
= = 1 exp
kT
eU
I I U UI P
o s
. (4.4)
Valoarea maxim a acestei puteri se obine ntr-un punct M al caracteristicii curent-tensiune,
ale crui coordonate sunt rezultate din condiia dP/dU=0:

, 1
, 1 ln
0
0
T M
M
s
s M
T
M
T M
U U
U
I
I
I I
U
U
U U U
+
|
|
.
|

\
|
+ =
|
|
.
|

\
|
+ =
(4.5)
unde U
T
= kT/e.
Pentru o sarcin pasiv valoarea optim a rezistenei sarcinii va fi

Surse regenerabile de energie



- 9 -

.
M
M
M
I
U
R =
(4.6)
4.3.3. Parametrii celulelor i modulelor PV
Productorii de celule i module PV indic n cartea tehnic a produsului parametrii ridicai
n condiii standard:
Radiaia solar global pe suprafaa celulei, G=1000 W/m
2
;
Temperatura celulei, T
C
= 25
0
C;
Masa convenional de aer, AM=1,5
n mod obligatoriu n cartea tehnic se prezint: curentul de scurt circuit, I
sc
; tensiunea de
mers n gol, U
0
; puterea maximal sau critic, P
c
; tensiunea i curentul n punctul critic, U
M

i I
M
. Pe lng aceti parametri pot fi indicai suplimentar: factorul de umplere (Fill Factor),
FF, randamentul celulei sau modulului PV, Temperatura Normal de Funcionare a
Celulei NOCT, coeficienii de variaie a tensiunii de mers n gol i a curentului de scurt
circuit cu temperatura.
Curentul de scurt circuit. Se obine la scurcircuitarea bornelor sarcinii R din figura 4.7.
Pe caracteristica I-U acesta-i punctul cu coordonatele U=0, I=I
sc
. Din expresia (4.3), pentru
U=0, obinem I
sc
= I
s
. Puterea furnizat este egal cu zero.
Tensiunea de mers n gol. Corespunde punctului de pe caracteristica I-U cu coordonatele
I=0, U=U
0
. Puterea debitat n acest punct este egal cu zero. Tensiunea de mers n gol


poate fi determinat din (4.3) pentru I=0:

. ln ln
0 0
0
0
I
I
e
kT
I
I I
e
kT
U
s s

+
=
(4.7)
Pentru o celul din siliciu raportul I
s
/I
0
este de circa 10
10
, factorul kT/e, numit i tensiune
termic, este egal cu 26 mV, Astfel U
0
= 0,6 V.
Puterea critic sau maximal. Este produsul curentului la tensiunea n punctul M a
caracteristicii I-V. n englez acest parametru se numete peak power i se noteaz P
C
.

M M C
I U P =
. (4.8)
Geometric, puterea critic P
C
, corespunde punctelor de tangen a hiperbolelor P = UI =
constant ctre caracteristicile amper volt I-U (vezi figura 4.7 d).
Energia solar fotovoltaic



- 10 -
Factorul de umplere (Fill Factor). Se determin ca raportul dintre suprafeele
dreptunghiurilor OU
M
MI
M
i OU
0
KI
sc
(figura 4.7 c) sau

sc
M M
I U
I U
FF
0
=
, (4.9)
de unde

sc C
I U FF P =
0
. (4.10)
Factorul de umplere este msura calitii celulei PV. Cu ct este mai mic rezistena intern
a celulei PV cu att FF este mai mare. De obicei FF > 0,7.
Randamentul celulei sau modulului PV. Se determin cu raportul puterii generate de
celula sau modulul PV n punctul optimal de funcionare M la o temperatur specificat
ctre puterea radiaiei solare

G A
P
C

=
, (4.11)
unde P
C
este puterea livrat n W; A este suprafaa celulei sau modulului n m; G radiaia
global incident pe suprafaa celulei sau modulului n W/m
2
.
n condiii de laborator s-au obinut celule din siliciu cristalin cu un randament de 13-25 %
n dependen de suprafaa celulei, iar n condiii de fabric 12-14 %. Randamentul celulei
din siliciu policristalin este de 17-20 % n condiii de laborator i 11-13 % n condiii de
fabric. Celulele comercializate din siliciu amorf posed un randament cuprins ntre 7 i 11
% , iar n condiii de laborator 16 %. Limita teoretic a randamentului din siliciu cristalin
este de 37 %, celui din siliciu amorf 28 % [41].
Temperatura Normal de Funcionare a Celulei. Corespunde temperaturii celulei PV la
funcionare n gol, la temperatura mediului de 20
0
C, radiaia global de 800 W/m
2
i viteza
vntului mai mic de 1 m/s. Pentru celule uzuale NOCT se situeaz ntre 42 i 46
0
C. Dac
cunoatem NOCT putem determina temperatura celulei T
C
n alte condiii de funcionare
caracterizate de temperatura mediului T
A
i radiaia global G [27]
G
NOCT
T T
A C
|
.
|

\
|
+ =
8 , 0
20
. (4.12)
Surse regenerabile de energie



- 11 -
4.3.4. Influena radiaiei solare i temperaturii asupra caracteristicilor celulelor
i modulelor PV
Caracteristicile celulei PV pentru diferite valori ale radiaiei solare sunt prezentate n figura
4.8 a. Constatm, c curentul fotovoltaic de scurtcircuit este direct proporional cu radiaia
solar, iar tensiunea de mers n gol variaz puin deoarece, conform (4.7), tensiunea U
0

depinde logaritmic de radiaia solar (I
s
este proporional cu radiaia) i adesea n calcule
Figura 4.8. Caracteristicile celulei PV la variaia radiaiei solare (a) i a temperaturii (b)
practice aceast variaie se neglijeaz. Curentul de scurt circuit, pentru diferite valori ale
radiaiei solare G, poate fi determinat cu o aproximaie satisfctoare cu formula

,
scst
st
sc
I
G
G
I =
(4.13)
unde I
scst
este curentul de scurtcircuit a celulei corespunztor radiaiei standard G
st
=1000
W/m
2
. Temperatura celulei PV influeneaz semnificativ asupra tensiunii de mers n gol i
cu mult mai puin asupra curentului de scurtcircuit (vezi figura 4.8 b). Odat cu creterea
temperaturii tensiunea de mers n gol scade. Pentru celule din siliciu coeficientul de variaie
a tensiunii cu temperatura K
T
este egal cu 2,3 mV/
0
C. Astfel parametrul U
0
pentru
temperaturi diferite de cea standard se va calcula cu expresia
), 25 ( 0023 , 0
025 0
= t U U (4.14)
unde U
025
este tensiunea de mers n gol a celulei PV la temperatura standard; t este
temperatura curent a celulei,
0
C. n calculele de proiectare variaia curentului de
scurtcircuit i a factorului de umplere FF cu temperatura este neglijat.

Energia solar fotovoltaic



- 12 -
4.4. Module fotovoltaice
Celulele fotovoltaice de construcie modern produc energie electric de putere ce nu
depete 1,5-2 watt la tensiuni de 0,5-0,6 V. Pentru a obine tensiuni i puteri necesare
consumatorului celulele PV se conecteaz n serie i/sau n paralel. Cea mai mic instalaie
electric format din celule PV interconectate n serie i/sau n paralel, ncapsulate pentru a
obine o rezisten mecanic mai mare i a proteja celulele mpotriva mediului se numete
modul fotovoltaic. Un numr de module PV asamblate
mecanic ca o unitate mai mare i conectate electric, se
numete panou sau cmp de module. n acord cu
standardele Comisiei Internaionale de Electrotehnic
(IEC) se utilizeaz termenul array - ceea ce
nseamn sistem, reea. Expresiile modul fotovoltaic,
panou fotovoltaic sau cmp de module deseori au
una i aceeai semnificaie.
La proiectarea modulelor PV se ia n consideraie
folosirea frecvent a modulelor PV pentru ncrcarea
acumulatoarelor electrice, tensiunea crora este de 12 -
12,5 V. Astfel, n condiii de radiaie standard,
tensiunea U
M
trebuie s fie 16-18 V iar tensiunea de
mers n gol 20-22,5 V. O singur celul genereaz n
gol circa 0,6 V i trebuie s conectm n serie 33-36
celule pentru a obine tensiunea necesar. Puterea
modulului va varia ntre 50 i 100 W.
Construcia modulului PV (figura 4.9 a) este, de obicei,
dreptunghiular, suportul se confecioneaz din
aluminiu anodizat i separat de structura laminat a
celulelor cu cptueal, care nu permite ptrunderea
umezelii. Celulele PV sunt protejate de aciunea
condiiilor nefavorabile, care pot interveni pe parcursul
exploatrii: ploaie, grindin, zpad, praf etc., de un
sistem ce const dintr-un strat de sticl i minimum din dou straturi (din fa i din spate)
din etilen vinil acetat EVA sau polivinil butirol PVB (figura 4.9 b). Fotografia unui modul
PV cu puterea P
C
=25 W
C
produs de firma BP Solar este prezentat n figura 4.10.
1
2
3
B C
a)
b)
Sticl
EVA
EVA
Celul PV
A
Figura 4.9 Construcia modulului
PV (a) i ncapsularea celulei PV
(b): 1 suport; 2 - guri pentru
asamblare n panouri; 3 - cutie
de borne.
Surse regenerabile de energie



- 13 -
Pentru a obine tensiunea i puterea necesar
consumatorului de energie electric modulele
PV pot fi conectate n serie, paralel sau serie-
paralel (vezi figura 4.11 a, b, c). La conectarea
n serie a dou module PV identice, curentul
debitat consumatorului rmne acelai, iar
tensiunea crete de dou ori. n figura 4.11 a
modulele PV1 i PV2 conectate n serie
ncarc bateria de acumulatoare GB. Punctul
de funcionare a sistemului module PV-GB
este punctul de intersecie M a caracteristicilor
respective: a dou module conectate n serie i
a bateriei de acumulatoare. Diodele VD1 i
VD2, numite diode de ocolire sau by-pass se
conecteaz n paralel cu fiecare modul sau cu un grup de module conectate n paralel (vezi
figura 4.11 a). n regim de funcionare normal diodele VD1 i VD2 nu consum energie.
Ele limiteaz nclzirea celulelor PV i nu permite micorarea intensitii curentului dac un
modul din circuitul consecutiv este mai puin performant sau este umbrit. Evident tensiunea
circuitului n serie se va micora. Dioda VD2, numit anti-retur se conecteaz n serie cu
sarcina. Aceast diod evit situaia, cnd modulul PV poate deveni receptor, dac tensiunea
generat va fi mai mic dect a acumulatorului. Este evident c ea introduce o cdere de
tensiune de circa 0,5V i corespunztor pierderi de energie. n figura 4.11 b se prezint
conectarea n paralel a dou module identice. Tensiunea generat rmne aceiai, iar
curentul crete de dou ori. Punctul de funcionare al sistemului module PV- rezistena R
este punctul de intersecie M a caracteristicilor amper-volt ale modulelor i consumatorului
I = (1/R)U. Diodele antiretur VD11 i VD12 nu permit ca un modul sau un grup de
module unite n paralel s treac n regim de receptor, atunci, cnd nu sunt identice sau cnd
sunt umbrite.
n schema din figura 4.11 c modulele PV1-PV2, PV3-PV4 i PV5-PV6 sunt unite n serie,
dar ntre ele - n paralel. Astfel, se obine majorarea de dou ori a tensiunii i de trei ori a
curentului. Evident, puterea instalaiei crete de ase ori. Diodele VD1-VD6 sunt diode de
ocolire, iar VD12, VD34, VD56 antiretur.

Figura 4.10. Module PV produse de firma
japonez Kyocera [42]
Energia solar fotovoltaic



- 14 -
Parametrii unui modul PV sunt determinai de parametrii celulelor din care este confecionat.
n continuare vom analiza un exemplu numeric pentru a determin parametrii modulului
PV, care funcioneaz n codiii meteorologice specificate (vezi boxa 4.1).

Boxa 4.1. Parametrii unui modul PV. Exemplu numeric
S se determine parametrii modulului PV format din 36 celule. Modulul funcioneaz n urmtoarele
condiii: radiaia global G = 800 W/m
2
, temperatura mediului T
a
= 30
0
C. Productorul de module PV
garanteaz urmtorii parametri n condiii de exploatare standard:
Curentul de scurtcircuit, I
scst
= 3 A;
Tensiunea la mers n gol U
0st
= 20,5 V;
Puterea critic (maximal), P
Cst
= 50 W;
Temperatura Normal de Funcionare a Celulei, NOCT = 45
0
C.
Rezolvare
1. Curentul de scurtcircuit
Conform (4.13) I
sc
(G) = G/G
st
I
scst
= 800/10003 = 2,4 A.
2. Temperatura celulei
n conformitate cu (4.12) G
NOCT
T T
A C
|
.
|

\
|
+ =
8 , 0
20
=30 +25 = 55
0
C.
3. Tensiunea la mers n gol
Folosim expresia (4.14) U
0
(55
0
C) = U
0st
0,0023n
c
(T
C
-25) = 20,50,00233630 = 18,0 V.
4. Factorul de umplere
Conform (4.9) 81 , 0
5 , 20 3
50
0
=

= =
scst st
C
I U
P
FF
5. Puterea maximal
Se determin n ipoteza c factorul FF nu depinde de radiaia solar i temperatura celulelor PV
P
C
= FFU
0
(55
0
C) I
sc
(G) = 0,81182,4 = 35 W.
Date tehnice ale modulelor PV produse de Kyocera [42].
Tip modul
Date tehnice
KC35 KC40 KC45 KC50 KC60 KC70 KC80 KC120
Puterea maximal, W
C
35,0 40,0 45,0 50,0 60,0 70,0 80,0 120,0
Tensiunea n punctul maximal, V 15,0 16,9 15,0 16,7 16,9 16,9 16,9 16,9
Curentul n punctul maximal, A 2,33 2,34 3,00 3,00 3,55 4,14 4,73 7,10
Tensiune la mers n gol, V 18,8 21,5 19,2 21,5 21,5 21,5 21,5 21,5
Curentul de scurtcircuit, A 2,50 2,48 3,10 3,10 3,73 4,35 4,97 7,45
Lungimea, mm 471 526 573 639 751 865 976 1425
Limea, mm 652 652 652 652 652 652 652 652
Grosimea, mm 52 52 54 54 52 56 52 52
Masa, kg 4,0 4,5 4,5 5,0 6,0 7,0 8,0 11,9
Surse regenerabile de energie



- 15 -

Figura 4.11. Interconexiunea modulelor PV: a n serie; b n paralel; c n serie - paralel
Energia solar fotovoltaic



- 16 -
4.5. Sisteme fotovoltaice
4.5.1. Structura unui sistem fotovoltaic
Celulele sau modulele PV nu sunt unicele componente a unui sistem PV. Pentru asigurare
continu a consumatorului cu energie electric multe sisteme PV conin acumulatoare de
energie electric. Modulul PV prezint un generator de curent continuu (c.c.), dar adesea
consumatorul de energie este de curent alternativ. Energia electric PV are un caracter
variabil, alternana zi/noapte, cer senin/ser acoperit provoac variaia intr-o gam mare a
fluxului de energie i a tensiunii generate de modulul PV. Astfel, apare necesitatea
condiionrii fluxului de energie, folosind convertoare electronice: c.c./c.c., care
ndeplinete i funcia de monitorizare a procesului ncrcare/descrcare a acumulatorului,
c.c./c.a pentru transformarea curentului continuu n curent alternativ. Pentru a evita
supradimensionarea generatorului fotovoltaic, adesea se folosete o surs auxiliar de
energie, fie un grup electrogen, fie un generator eolian sau chiar reeaua electric public.
Toate aceste componente trebuie s fie interconectate, dimensionate i specificate pentru a
funciona ntr-un sistem unic, numit sistem fotovoltaic. n figura 4.12 este prezentat
structura unui sistem PV. Principalele componente sunt:
Modulul, panoul, cmpul de module sau, altfel spus, generatorul fotovoltaic. n
paragraful 4.4. au fost analizate caracteristicile i parametrii generatorului PM;
Bateria de acumulatoare;
Subsistemul pentru condiionarea energie electrice, care includ inclus i elemente de
msurare, monitorizare, protecie, etc.;
Sursa auxiliar de energie, de exemplu, un grup electrogen (back-up generator), care
funcioneaz pe benzin sau motorin. n acest caz sistemul PV se mai numete
sistem PV hibrid.
Sistemele PV se divizeaz n dou categorii principale: conectate la reea (grid-connected)
sau care funcioneaz n paralel cu reeaua electric public i sisteme PV autonome (stand
- alone PV system). Cea mai simpl sistem este sistema PV pentru pomparea apei, n care
se utilizeaz pompe cu motoare de c.c. Acest sistem nu conine acumulatoare electrice
(rezervorul de ap servete ca acumulator) i nici convertoare de c.c./c.a.
Sistemele PV conectate la reea pot fi divizate n cele, n care reeaua electric public joac
rolul de surs auxiliar de energie (grid back-up), cele, n care excesul de energie PV este
furnizat n reea (grid interactive PV system) i centrale electrice PV (multi MW PV system)
Figura 4.12. Structura unui sistem fotovoltaic
Surse regenerabile de energie



- 17 -
furnizat n reea (grid interactive PV system) i centrale electrice PV (multi MW PV system)
care furnizeaz toat energia produs n reea.
n continuare, se va face o succint caracteristic a componentelor principale ale unui
sistem PV acumulatoarelor de energie electric, elementelor de condiionare a energiei,
grupurilor electrogene. Generatorul PV este descris n paragraful 4.4.
4.5.2. Acumulatoare pentru stocarea energiei electrice
Bateria de acumulatoare servete pentru stocarea energie produs de modulul PV. Stocarea
energiei este necesar atunci cnd exist decalajul n timp ntre cererea de energie i
aportul energetic al soarelui. ntr-o instalaie PV bateria ndeplinete urmtoarele trei funcii
importante:
1. Autonomie se realizeaz alimentarea cu energie electric independent de variaia
radiaiei solare;
2. Surs de cureni de suprasarcin bateria de acumulatoare poate furniza pe o durat
scurt de timp un curent de suprasarcin care depete cu mult curentul generat de
modulul PV. O astfel de situaie apare la pornirea motoarelor electrice, fie de c.c.
sau c.a.;

Energia solar fotovoltaic



- 18 -
3. Stabilizator de tensiune bateria asigur o tensiune constant i o bun funcionare a
consumatorilor.
n instalaiile PV mai frecvent se utilizeaz dou tipuri de acumulatoare: cu plumb acid
(Pb - acid) i acumulatoare nichel cadmiu (Ni - Cd) sau nichel fier (Ni - Fe).
Construcia i proprietile acestor dou tipuri de acumulatoare sunt diferite. Bateriile de
acumulatoare Pb acid sunt cunoscute cititorului datorit folosirii frecvente a acestora,
deja pe o perioad de 150 de ani, pentru demararea motoarelor cu ardere intern a
automobilelor i ca for de traciune a vehiculelor electrice. Acumulatoarele Ni Cd au
fost elaborate mai trziu ca un rspuns la necesitatea pstrrii energiei electrice pe o
perioad mai mare, n condiii de funcionare extreme i cu cheltuieli minime de exploatare.
Cele mai importante diferene ntre proprietile acumulatoarelor Ni Cd i Pb acid sunt:
1. Celul acumulatorului Ni-Cd genereaz o tensiune nominal de 1,25 V, iar Pb-acid
de 2,0 V. Tensiunea acumulatorului Ni-Cd variaz puin n dependen de gradul de
ncrcare.
2. Caracteristicile electrolitului acumulatorului Ni-Cd nu variaz pe parcursul ncrcrii
i descrcrii. Aceasta nseamn c:
Densitatea electrolitului sau greutatea specific nu variaz i acest parametru
nu poate servi pentru identificarea gradului de ncrcare;
Nu exist problema stratificrii electrolitului.
3. Punctul de congelare nu depinde de gradul de ncrcare.
4. Capacitatea acumulatorului Ni-Cd variaz relativ puin n dependen de viteza de
descrcare.
5. Acumulatorul Ni-Cd permite un grad de descrcare mai mare dect acumulatorul Pb-
acid. Factorul de descrcare poate fi egal cu 1 pentru Ni-Cd i maximum 0,5 pentru
Pb-acid.
6. Acumulatoarele Ni-Cd ofer diverse avantaje: durata de via de 20 ani, ntreinere
minimal, rezisten la suprancrcare, randament bun la temperaturi ridicate,
proprietatea de a fi pstrat ncrcat sau descrcat fr stricciuni. Durata de
exploatare a acumulatoarelor Pb-acid nu depete 7 ani.
7. Costul acumulatoarelor Ni-Cd este de 2 - 3 ori mai mare dect a acumulatoarelor Pb-
acid.

Regimuri de ncrcare a acumulatoarelor. Viteza proceselor electrochimice care au loc
n acumulatoare depinde de mrimea curentului de ncrcare i respectiv de descrcare. Un
Surse regenerabile de energie



- 19 -
acumulator descrcat admite un curent de ncrcare mai mare la prima etap, apoi odat cu
creterea gradului de ncrcare curentul trebuie s fie micorat. Variaia optimal a
curentului pe durata de ncrcare trebuie s fie invers proporional cu gradul de ncrcare,
figura 4.13. n dispozitivele uzuale alimentate de la reea se realizeaz urmtoarele trei
metode de ncrcare a acumulatoarelor:
1. ncrcarea cu curent constant pe ntreaga
perioad de ncrcare. n acest scop se
regleaz manual sau automat tensiunea sursei
de curent. ncrcarea poate fi efectuat i n
cteva trepte, de obicei dou, trei. Pe durata
fiecrei trepte curentul de ncrcare se
menine constant. Avantajul acestei metode
const n posibilitatea ncrcrii
acumulatorului pn la capacitatea nominal,
dezavantajul degajare abundent de gaze i
pericolul suprancrcrii.
2. ncrcarea la o tensiune constant a
sursei de alimentare se caracterizeaz prin
meninerea tensiunii constante pe ntreaga
perioad de ncrcare. Curentul de ncrcare
se micoreaz o dat cu creterea gradului de
ncrcare. Avantaje: decade necesitatea reglrii, dispare degajarea abundent de gaze i
pericolul suprancrcrii. Dezavantajul principal gradul maximal de ncrcare care
poate fi atins este de 95-97 %.
3. Metoda combinat de ncrcare n care la prima etap ncrcarea se realizeaz cu
curent constant, iar la etapa a doua cu tensiune constant.
Pentru ambele tipuri de acumulatoare se recomand mrimea curentului de ncrcare n
amperi egal cu 0,25 C, unde C este capacitatea nominal a acumulatorului. Acest regim de
ncrcare se numete regim normal i dureaz 6 ore. Se admite majorarea curentului de
ncrcare pn la (0,8-1,0) C pe o durat de 3 ore. Acest regim se numete accelerat. Spre
sfritul ncrcrii tensiunea unei celule Pb-acid nu va depi 2,75 V i 1,75 V - pentru
celula Ni-Cd.
Petru acumulatoare Ni-Cd se recomand i ncrcarea cu cureni mici valoarea crora nu
depesc 0,1 C. Durata de ncrcare se mrete, dar se exclud suprancrcrile i
0
10
20
30
40
50
60
70
80
90
100
0 0,5 1 1,5 2 2,5
Ore
%
Gradul de ncrcare, %
Curentul de ncrcare, %
Figura 4.13. Variaia optimal a
curentului pe durata de ncrcare
Energia solar fotovoltaic



- 20 -
deteriorrile acumulatorului. Aceast metod este binevenit n cazul utilizrii ca surs de
curent un modul PV.
a) b)
Figura 4.14. Caracteristicile de descrcare ale acumulatoarelor Pb-acid (a) i Ni-Cd (b
Regimuri de descrcare a acumulatoarelor. n
figura 4.14 sunt prezentate caracteristicile de
descrcare a acumulatoarelor Pb-acid (a) i Ni-Cd (b).
Tensiunea minimal admisibil la descrcare pentru
acumulatorul Pb-acid nu va depi 1,75 V i 1,0 V
pentru acumulatorul Ni-Cd. Din figura 4.14 a
constatm c pentru acumulatorul Pb-acid
coeficientul de descrcare nu va depi 50-60 %.
Acumulatorul Ni-Cd admite o descrcare mai
profund ce poate atinge chiar 100 %.
Durata de via sau numrul de cicluri a
acumulatorului depinde ntr-o mare msur de gradul
de descrcare a acumulatorului. Unii productori
furnizeaz date cu privire la numrul de cicluri
suportate de acumulator ca funcie de gradul de descrcare. n figura 4.15 sunt prezentate
0,7
0,8
0,9
1
1,1
1,2
1,3
1,4
1,5
1,6
0 2 4 6 8 10 12 14 16 18 20
t, h
U
,

V
Td=20 h Td=10 h
Td=8 h Td=5 h

1,75
1,8
1,85
1,9
1,95
2
0 0,5 1 1,5 2 2,5 3
t, h
U
,

V
Id=3,6 A Id=6 A Id=9 A
Id=11 A Id=18,5 A

0
10
20
30
40
50
60
70
80
90
0 1000 2000 3000 4000 5000
Cicluri
G
r
a
d
u
l

d
e

d
e
s
c

r
c
a
r
e
,

%
Pentru traciune
Pentru demararea automobilelor
Figura 4.15. Influena gradului de
descrcare asupra duratei de via
a acumulatorului
Surse regenerabile de energie



- 21 -
caracteristicile a dou tipuri de acumulatoare Pb-acid - pentru traciune i demararea
motoarelor autovehiculelor.























Boxa 4.2. Exemplu de dimensionare a unei baterii de acumulatoare
n figura 4.14 a sunt prezentate caracteristicile de descrcare a unui acumulator Pb acid cu
capacitatea de 36 Ah. S se dimensioneze o baterie de acumulatoare, care ar alimenta un
grup de consumatori n urmtoarele condiii:
Dou posturi de iluminat, durata de funcionare 3 h/zi, tensiunea 24 V, puterea 12 W
(lmpi fluoriscente compacte - LFC);
Televizor color, 4 h/zi, tensiunea 220 V, puterea 80 W;
Frigider cu un consul diurn de 600 Wh, tensiunea 220 V.
Sistemul trebuie s funcioneze cel puin 250 zile/an pe o durat total de 7 ani.
Rezolvare.
1. Numrul de acumulatoare conectate consecutiv care formeaz o baterie:
N
cons.
=24/2 = 12;
2. Consumul de energie pe zi: C
zi
= 312 + 480 + 600 = 956 Wh/zi;
3. Capacitatea bateriei de acumulatoare:
Ah
U K
C
C
conv ac d
zi
ac
1 , 104
9 , 0 85 , 0 24 5 , 0
936
=

=

=


unde K
d
= 0,5 - gradul de descrcare;
ac
= 0,85 randamentul acumulatorului.
4. Numrul de baterii conectate n paralel:
N
b par.
= C
ac
/36 = 104,1/36 = 2,9.
Alegem 3 baterii de acumulatoare conectate n paralel. Fiecare baterie conine 12
celule conectate n serie.
5. Numrul de cicluri ncrcare descrcare N
cicl
= 250 h
-1
.
6. Numrul de cicluri ncrcare descrcare pe durata de 7 ani: N = 7 N
cicl
= 1750.
7. Verificm dac pentru gradul de descrcare K
d
= 0,5 numrul de cicluri este egal sau
mai mare dect cel necesar:
Pentru gradul de descrcare K
d
= 0,5 din figura 4.15 determinm numrul de cicluri
ncrcare descrcare:
N = 2500>1750.
Energia solar fotovoltaic



- 22 -
4.5.3. Funcionarea n sarcin a modulului PV
n paragraful 4.3 s-a menionat, c celula PV, respectiv modulul PV, are cele mai bune
performane n punctul M (vezi figura 4.7) unde puterea debitat pe sarcin este maximal.
Totodat, variaia radiaiei globale i a temperaturii provoac modificarea caracteristicii I-V
a modulului PV. De asemenea, diferii consumatori posed diferite caracteristici I-V. Ca
rezultat, punctul de funcionare a subsistemului modul PV - sarcin (punctul de intersecie a
caracteristicilor I-V ale modulului i sarcinii) nu va coincide cu punctul M. n figura 4.16
Figura 4.16. Caracteristicile I-V ale modulului PV i diferitor consumatori
sunt prezentate caracteristicile I-V a trei din cei mai rspndii consumatori: rezistor, motor
de c.c. cu magnei permaneni i un acumulator. Se prezint i caracteristica unui
consumator ideal pentru care punctul de funcionare ntotdeauna coincide cu punctul
optimal M. Caracteristicile I-V se descriu cu urmtoarele expresii analitice:
Rezistor U
R
I
1
= ; (4.15)
Motor de c.c.
i i
R
k U
R
E U
I

=

= ; (4.16)
Acumulator
int
0
R
E U
I

= , (4.17)

Surse regenerabile de energie



- 23 -
unde U este tensiunea modulului PV; k constanta motorului; fluxul de excitaie; -
viteza de rotaie; Ri rezistena indusului; E
0
tensiunea la mers n gol a acumulatorului;
R
int
rezistena interioar a acumulatorului.
La pornirea motorului de c.c. curentul absorbit de la modul este maximal i este aproape de
cel de scurtcircuit. Dei tensiunea pe indus este minimal, pornirea are loc datorit cuplului
creat de produsul kI
sc
.
Dac U = E
0
acumulatorul este ncrcat i nu va consuma curent, n caz contrar curentul de
ncrcare va crete odat cu creterea radiaiei globale, respectiv cu tensiunea. Odat cu
creterea curentului de ncrcare crete cderea de tensiune IR
int
.
Din figura 4.16 se constat c sarcina de tip rezistor sau motor de c.c. nu va funciona n
punctul optimal la variaia radiaiei. Va trebui s modificm caracteristica I-V a modulului
PV sau a sarcinii pentru a urmri punctul de funcionare optimal. n acest scop se folosesc
convertoare electronice c.c./c.c. numite MPPT (din englez Maximum Power Point
Tracker). MPPT se conecteaz ntre modulul PV i sarcin i el modific tensiunea la ieire
astfel ca s se asigure urmrirea punctului optimal de funcionare. n figura 4.17 sunt puse
n eviden dou cazuri de urmrire a punctului maximal folosind tehnologia MPPT
Figura 4.17. Explicativa privind urmrirea punctului de putere maximal: a) folosind tehnologia
MPPT; b) prin modificarea caracteristicii sarcinii
(figura 4.17 a) i prin modificarea sarcinii (figura 4.17 b). n primul caz avem dou sarcini
cu caracteristici I-V diferite, care pentru simplitate se admit liniare. Pentru ambele sarcini
constatm o deviere esenial a punctelor de funcionare A, B i D, C de la punctele optimale
M1 i M2. n aceleai coordonate sunt trasate hiperbolele I=P
max1
/U i I=P
max2
/U. n orice

Energia solar fotovoltaic



- 24 -
punct al hiperbolelor menionate, puterea P
max1
sau P
max2
sunt mrimi constante i respectiv
egale cu puterea maximal debitat n punctul M1 sau M2.
Fie c subsistemul modul PV Sarcina 1 funcioneaz n punctul B n condiii de radiaie
global egal cu G1. Pentru a obine de la modul o putere maximal ar trebui s modificm
caracteristica I-V a sarcinii astfel ca s se intersecteze n punctul M1. Acelai rezultat poate
fi obinut dac micorm tensiunea i mrim curentul n comparaie cu punctul M1
deplasndu-ne pe hiperbol n punctul B
max.
Analog procedm dac se micoreaz radiaia
de la G1 la G2. n cazul sarcinii 2 pentru a urmri punctul maximal va trebui s procedm
invers s majorm tensiunea i s micorm curentul (compar punctul C
max
cu C sau
D
max
cu D). Convertorul electronic MPPT trebuie s modifice tensiunea i curentul, astfel, ca
la ieire produsul acestora s fie constant i egal cu puterea maximal generat de modulul
PV expus radiaiei globale G.
n unele cazuri specifice urmrirea punctului de putere maximal poate fi realizat prin
modificarea caracteristicii I-V a sarcinii, aa cum este ilustrat n figura 4.17 b. Pentru
radiaia solar maximal i egal cu G1 subsistemul modul PV- sarcina R1 va funciona n
punctul M1, n acest caz contactele K1 i K2 sunt nchise. La o valoare medie a radiaiei
solare egal cu G2, contactul K2 se deschide, caracteristica sarcinii I-V se modific i
subsistemul va funciona n punctul M2. Dac radiaia solar continu s se micoreze, se
deschide contactul K1 i subsistemul va funciona n punctul M3.
Subsistemul modul PV acumulator nu necesitatea utilizarea tehnologiei MPPT, deoarece,
dac deplasm caracteristica I-V spre dreapta (figura 4.16) ea va fi aproape de cea ideal. n
schimb, acumulatorul necesit o supraveghere automat a gradului de ncrcare i
descrcare pentru a evita deteriorarea acestuia.
Decizia proiectantului de-a utiliza sau nu tehnologia MPPT se va face n rezultatul
calculului economic. Trebuie s lum n consideraie costul convertorului MPPT, pierderile
de energie n MPPT (randamentul convertoarelor moderne c.c./c.c. este de 90-95 %),
respectiv ctigul de putere la funcionarea subsistemului MPPT n regim optimal. Conform
datelor disponibile [27], urmrirea punctului de putere maximal n sistemele PV de
pompare, ridic debitul cu minimum 20 %.
n continuare v-om analiza principiul de funcionare ale convertoarelor c.c./c.c., care asigur
realizarea urmririi punctului de putere maximal. Adesea aceste convertoare sunt numite
amplificatoare liniare de curent, n englez Linear Current Booster (LCB).
Surse regenerabile de energie



- 25 -
4.5.4. Convertoare c.c./c.c. pentru realizarea tehnologiei MPPT
Adaptorul de sarcin sau blocul de urmrire a punctului maximal de putere MPPT se
realizeaz pe baza convertorului de c.c./c.c. principiul de funcionare a cruia s-a studiat n
cursul de Electronic. n figurile 4.18 i 4.19 se prezint dou scheme simplificate prima
asigur micorarea tensiunii (buck converter) i respectiv majorarea curentului, a doua
majorarea tensiunii i respectiv micorarea curentului (boost converter) [43]. Trebuie s
menionm, c aici nu sunt folosite transformatoare, fie de coborre sau ridicare, n ambele
scheme se folosete principiul de modulaie n durat a impulsurilor (Pulse Width
Modulation - PWM). Tranzistorul VT conecteaz sau deconecteaz cu frecven mare (de
circa 20 kHz) inductana L la ieirea modulului PV. Apoi energia acumulat este cedat
sarcinii R conectat n paralel cu condensatorul C.
Fie c pe durata de timp t
d
tranzistorul VT este deschis (vezi figura 4.18). Modulul PV este
conectat la sarcin prin intermediul inductanei L. Curentul care curge prin circuitul este
notat cu i
Ld
(vezi diagrama i(t) ). O parte din energia livrat de modulul PV este acumulat
n cmpul magnetic al inductanei L, n condensatorul C, care se ncarc, iar restul cedat
sarcinii R. Ecuaia de funcionare a circuitului PV-VT-L-C-PV n ipoteza c toate elemente
sunt ideale se va scrie astfel

C
Ld
PV
u
dt
di
L u + = pentru 0 t t
d
, 0 >
dt
di
L
Ld
. (4.18)
de unde rezult c tensiunea
dt
di
L u u
Ld
PV C
= .
Pe durata de timp t

tranzistorul VT este nchis (blocat), curentul prin inductana L continu
s curg prin circuitul VD-L-ramura C-R, ecuaia de funcionare fiind

C
l
u
dt
di
L + = 0 pentru t
d
t t

, 0 <
dt
di
L
L
. (4.19)
de unde rezult c tensiunea .
dt
di
L u
L
C
=
Astfel, tensiunea la ieire (pe condensatorul C, respectiv pe sarcina R) se determin ca
tensiunea la intrare u
PV
minus tensiunea de autoinducie a inductanei L. Pentru regimul de
cureni nentrerupi tensiunea pe condensator i curentul de sarcin se determin cu relaiile
. / , D i i D u u
PV S PV C
= = (4.20)
Energia solar fotovoltaic



- 26 -

Figura 4.18. Schema convertorului c.c./c.c. de coborre (buck converter)
Surse regenerabile de energie



- 27 -
unde
d
d
t t
t
D
+
= variaz ntre 0 i 1 i se numete durata relativ de funcionare a
tranzistorului VT.
n convertorul din figura 4.19 tranzistorul VT este conectat n paralel cu sarcina. Pe durata
de timp t
d
tranzistorul VT este deschis, tensiunea ntre punctele a i b este egal cu zero,
Figura 4.19. Schema convertorului c.c./c.c. de ridicare (boost converter)

Energia solar fotovoltaic



- 28 -
curentul i
Ld
curge prin inductana L, energia produs de modulul PV se nmagazineaz n
cmpul magnetic. Cnd tranzistorul VT se nchide (durata de timp t

) curentul i
L
generat de
modulul PV curge prin dioda VD i sarcina R ncrcnd condensatorul C. Energia
acumulat n inductana L, de asemenea, este cedat sarcinii. Ecuaiile de funcionare ale
schemei pe cele dou durate de timp sunt urmtoarele

dt
di
L u
Ld
PV
= pentru 0 t t
d
, 0 >
dt
di
L
Ld
. (4.21)

C
L
PV
u
dt
di
L u + = pentru t
d
t t

, 0 <
dt
di
L
L
, (4.22)
din care rezult c tensiunea pe sarcin pentru 0 t t
d
este egal cu zero, iar pentru t
d
t t

,
- cu suma tensiunii u
PV
a modulului PV i tensiunii de autoinducie
dt
di
L
L
(vezi diagrama
u
C
(t)). n acest caz tensiunea la ieire crete, curentul scade i se determin cu formulele
). 1 ( ,
1
D i i
D
u
u
PV S
PV
C
=

= (4.23)
Dac n schema din figura 4.18 dioda VD i inductana L sunt schimbate cu locurile i dioda
este inclus invers fa de tranzistorul VT, atunci convertorul va realiza ambele funcii ale
celor dou scheme: pentru D<0,5, u
C
< u
PV
, iar pentru D>0,5, u
C
> u
PV
. Tensiunea i
curentul la ieire se determin cu formulele

PV S PV C
i
D
D
i u
D
D
u

=

=
1
,
1
. (4.24)
n schemele analizate duratele de timp t
d
i t

sunt modificate n dependen de curent i


tensiune. Frecvena sau perioada T rmne constant. Blocul de comand BC este dotat cu
microprocesor i traductoare de curent i tensiune.
Convertoarele analizate pot fi utilizate ca regulatoare pentru monitorizarea gradului de
descrcare i respectiv ncrcare a acumulatorului. n schemele 4.18 i 4.19 acumulatorul
substituie circuitul R-C. Descrcarea excesiv este prevenit prin monitorizarea tensiunii
acumulatorului. Dac tensiunea acumulatorului Pb-acid este mai mic dect 10,5 V sau 10,0
V pentru Ni-Cd, consumatorul este deconectat i va fi reconectat din nou dac tensiunea
acumulatorului este mai mare dect o valoare minim prescris. n cazul ncrcrii
acumulatorului, regulatorul deconecteaz modulul PV de la acumulator dac tensiunea
depete valoarea de 16,5 V pentru acumulatorul Pb acid i 17,5 V pentru Ni-Cd.
Surse regenerabile de energie



- 29 -
4.5.5. Invertorul
Invertorul face parte din subsistemul de condiionare a energiei electrice al sistemului PV
(vezi figura 4.12) i este componenta principal a convertorului c.c./c.a. Invertorul
transform energia de c.c., generat de modulele PV sau stocat n acumulatoare, n energie
de c.a. de o frecven prestabilit. Deja exist convertoare care asigur parametrii de calitate
ai energiei electrice la acelai nivel ca i reelele publice: frecven i tensiune stabil, forma
sinusoidal a undei de tensiune i curent.
n dependen de cerinele impuse de sarcin privind forma undei de tensiune, factorul de
suprasarcin, randament sunt disponibile diferite tipuri de invertoare, parametrii crora sunt
prezentai n tabelul 4.1.
Tabelul 4.1. Parametrii de performan a principalelor tipuri de invertoare [27]
Parametri Tensiune
dreptunghiular
Cvasi - sinusoidal
sau n trepte
Modularea
impulsurilor n durat
Puterea nominal, kW Pn la 1000 Pn la 2,5 Pn la 20,0
Factorul de suprasarcin Pn la 20 Pn la 4 Pn la 2,5
Randamentul, % 70-98 >90 >90
Distorsiunea armonic, % Pn la 40 >5 <5
Randamentul indicat corespunde funcionrii invertorului la o sarcin de 75-100 % din
puterea nominal. La alegerea invertorului este important s cunoatem caracteristica
randamentului ca funcie de sarcin. Motoarele electrice necesit un curent de pornire cu
mult mai mare dect cel nominal. Este important ca factorul de suprasarcin a invertorului
s corespund acestei necesiti.
Invertorul cu und dreptunghiular are cea mai simpl schem, o eficien relativ bun, este
cel mai ieftin, dar provoac o distorsiune armonic cea mai mare, ceea ce cauzeaz
supranclzirea motoarelor. Acest tip de invertor se recomand n sisteme PV de mic putere
pentru iluminare, nclzire la tensiuni diferite de cea de c.c., de asemenea n componena
convertoarelor c.c./c.c., acionri electromagnetice. Invertorul cu und cvasi sinusoidal
este mai complicat, dar relativ eficient. Modularea impulsurilor n durat este o tehnologie
mai nou, schema de comand a invertorului este cu mult mai complicat, costul
invertorului este mai mare, dar asigur eficien nalt i distorsiuni armonice minimale.
Invertorul cu und dreptunghiular. Schema convertorului monofazat de tensiune cu
tranzistoare bipolare este prezentat n figura 4.20. Diodele VD1-VD4 conectate n paralel
i invers cu tranzistoarele VT1-VT4 asigur curgerea nentrerupt a curentului cu caracter
inductiv atunci cnd tranzistoarele conecteaz sarcina activ-inductiv la sursa de tensiune.
Energia solar fotovoltaic



- 30 -
Dac tranzistoarele VT1-VT2 i VT3-
VT4 sunt n stare de conducie pe
durata de jumtate de perioad i apoi
blocate, atunci ntre punctele a i b va fi
generat o und de tensiune
dreptunghiular (vezi diagrama u(t)).
Unda curentului este compus din
poriuni de exponent i n cazul
sarcinii activ inductive este defazat
n raport cu tensiunea. Din aceast cauz,
n momentul blocrii tranzistoarelor
VT1-VT2 sau VT3-VT4 (momentele de
timp i respectiv 2) curentul este
preluat de diodele VD3-VD4 i
respectiv VD1-VD2. Dac se blocheaz
tranzistoarele VT1-VT2, curentul va
continua s curg pe urmtoarea cale: a-
R-L-VD3-C-VD4-a, iar n cazul blocrii
tranzistoarelor VT3-VT4 - pe calea b-
L-R-VD1-C-VD2-b.
Invertorul cu und cvasi sinusoidal
sau n trepte. Astfel de und de tensiune poate fi obinut n schema trifazat prezentat n
figura 4.21. Pentru simplitate se admite o sarcin activ. Tranzistoarele VT1-VT4 formeaz
unda de curent a fazei A, VT3-VT6 unda de curent a fazei B i VT5-VT2 - unda de curent
a fazei C. n acest scop, trnzistoarele fiecrei faze se afl n stare de conducie sau sunt
blocate succesiv cu un defazaj de 180
0
, iar ntre faze, respectiv - 120
0
. Din diagramele
curenilor constatm, c sunt n stare de conducie concomitent trei tranzistori din ase i pe
perioad sunt ase intervale (I,II,III,IV,V,VI) cu diferite stri ale tranzistoarelor. Pe durata
primului interval sunt n conducie tranzistoarele VT1, VT6 i VT5. Curentul curge prin
fazele A i C conectate n paralel i faza B conectat consecutiv, formnd schema din
figura 4.21 c. Pentru celelalte durate de timp se formeaz aceiai schem dar cu diferite
combinaii ale fazelor. n consecin, pe faz va fi generat o und n form de trepte,
amplitudinea creia este egal cu 2/3U.
Invertorul cu modularea impulsurilor n durat. Pentru a micora pierderile de energie
n motoarele asincrone alimentate de la module PV este necesar ca forma curentului s fie

Figura 4.20. Invertorul monofazat cu und
dreptunghiular
Surse regenerabile de energie



- 31 -

Figura 4.21. Schema convertorului trifazat cu und de tensiune n trepte: a - schema; b
diagramele curentului i tensiunii pe faz; c schema conexiunii fazelor sarcinii pentru I ul
interval de timp
Energia solar fotovoltaic



- 32 -
Figura 4.22. Schema convertorului monofazat cu modularea impulsurilor n durat
Surse regenerabile de energie



- 33 -
sinusoidal. Totodat, variaia frecvenei trebuie s fie nsoit de variaia proporional a
tensiunii. Aceste funcii pot fi realizate cu ajutorul invertorului cu modularea impulsurilor
n durat M.I.D., n englez Pulse Width Modulation sau PWM.
Pentru a obine la ieirea invertorului o form de und a tensiunii ct mai sinusoidal, se
compar un semnal de referin, u
ref
sinusoidal cu un semnal purttor, u
p
triunghiular. n
figura 4.22 este prezentat schema monofazat a invertorului cu tranzistoare IGBT cu
modularea impulsurilor n durat i diagramele de tensiune. Punctele de intersecie ale
semnalelor u
ref
i u
p1
sunt folosite pentru impunerea momentelor de comutaie ale
tranzistoarelor VT1 i VT2, iar a semnalelor u
ref
i u
p2
- pentru impunerea momentelor de
comutaie ale tranzistoarelor VT3 i VT4. Algoritmul de comand este urmtor:
Dac u
ref
> u
p1
, tranzistorul VT1 este n conducie, iar VT2 blocat;
Dac u
ref
< u
p1
, tranzistorul VT1 este blocat, iar VT2 n conducie;
Dac u
ref
> u
p2
, tranzistorul VT3 este blocat, iar VT4 n conducie;
Dac u
ref
< u
p2
, tranzistorul VT3 este n conducie, iar VT4 blocat.
Frecvena f
p
a semnalului purttor stabilete frecvena de comutaie a tranzistoarelor VT1
VT4, iar semnalul de referin u
ref
, de frecven f
r
egal cu frecvena dorit, este utilizat
pentru modularea duratei de conducie. Frecvena f
p
este mai mare dect frecven f
r
i
poate atinge valori de pn la 20 kHz. n figura 4.22 frecven f
p
este de trei ori mai mare
dect f
r
.
Dac tranzistoarele VT1-VT4 sunt comandate n conformitate cu algoritmul de mai sus,
atunci n punctele a i b n raport cu punctul mediu O se vor genera trenuri de pulsuri de
diferite durate (vezi diagramele u
Oa
i u
Ob
). Diferena u
Oa
- u
Ob
este egal cu tensiunea pe
sarcin. Fundamentala u
f
este aproape de forma sinusoidal, iar n cazul sarcinii activ
inductive i curentul va fi sinusoidal.
Avantajele principale ale invertorului cu modularea impulsurilor n durat sunt:
Posibilitatea de reglare prin comanda invertorului att a frecvenei ct i a
amplitudinii tensiunii la ieire, ceia ce prezint o importan n cazul cnd sursa de
energie este modulul PV care genereaz o tensiune constant;
Armonicile de frecven joas sunt eliminate din forma de und a tensiunii la ieirea
invertorului;
ncorporarea n invertorul PWM a tehnologiei de urmrire a punctului de putere
maximal asigur funcionarea modulului PV n regim optimal. n cazul sistemelor
de pompare solar aceast funcie a invertorului conduce la creterea semnificativ
a productivitii.
Energia solar fotovoltaic



- 34 -
4.6. Dimensionarea unui sistem fotovoltaic
4.6.1. Principii generale
Principiul general care st la baza dimensionrii instalaiei PV este urmtorul: trebuie
ntotdeauna de respectat echilibrul ntre energia produs de generatorul PV i energia
consumat de utilizator. Acest echilibru se realizeaz pentru o perioad definit, de
obicei o zi sau o lun.
Prezena bateriei de acumulatoare permite compensarea deficitului ntre energia produs i
cea consumat, deficit care poate fi din cauza timpului noros sau suprasolicitrii din partea
consumatorului.
Dimensionarea unui sistem PV presupune parcurgerea urmtoarelor etape principale:
1. Calculul radiaiei solare disponibile pe suprafaa modulului PV;
2. Calculul consumului diurn de energie electric E
c
;
3. Calculul cantitii de energie electric necesar de produs de modulul PV - E
p
;
4. Calculul puterii critice a modulului PV - P
c
i alegerea acestuia;
5. Calculul capacitii acumulatoarelor C i alegerea acestora;
6. Verificarea echilibrului consumului i producerii de energia electric.
n figura 4.23 este prezentat procedura de dimensionare a unui sistem PV cu baterii de
acumulare.
Calculul radiaiei solare disponibile pe suprafaa modulului PV. Se efectueaz n
conformitate cu metodica descris n paragrafele 2.6.3 i 3.4.3. Unghiul de nclinaie a
modulului PV fa de orizont se determin din condiia asigurrii echilibrului consum /
producere energie electric n lunile cu cea mai mic radiaie solar.
Calculul consumului diurn de energie electric. n acest scop, pentru fiecare consumator
de curent continuu i alternativ se determin puterea nominal i orele de utilizare zilnic.
Consumul de energie electric, E
C
, se determin ca produsul puterii nominale la numrul
de ore


= =


=
k
i
m
j CF
j
ca
nj
Ac R
i
cc
ni
C
t P
t P
E
1 1

, (4.25)
Surse regenerabile de energie



- 35 -
unde k este numrul de consumatori de c.c.; m numrul de consumatori de c.a.; P
ni
, P
nj

puterea nominal a consumatorilor de c.c. i c.a.; t
i
, t
j
- durata de funcionare a
consumatorilor respectivi;
R
,
CF
,
Ac
respectiv randamentul regulatorului de ncrcare



Figura 4.23. Procedura de dimensionare a unui sistem PV
Energia solar fotovoltaic



- 36 -
descrcare, acumulatorului i a convertorului de frecven. Pentru calcule prealabile
R
=
0,95-0,98,
Ac
= 0,85-0,90,
CF
=0,85 - 0,95. Puterile nominale ale utilajului electrotehnic
sunt specificate n cartea tehnic. Totodat, ele pot fi puse la dispoziia proiectantului de
firma productoare de utilaje respective. Valorile duratelor de funcionare n zi a
utilajelor se decurg din necesitile declarate ale beneficiarului sau se determin din datele
statistice.
n boxa 4.3 sunt prezentate date tehnice estimative privind cei mai uzuali consumatori
casnici de energie electric: puterea nominal, eficiena, durata de funcionare, etc. Datele
au fost culese din [27, 44, 45] i adaptate.

















Boxa 4.3. Unele date tehnice ale consumatorilor casnici
Tabelul 4.3. Surse de iluminare
Sursa de iluminare Puterea,
W
Eficiena,
lm/W
Durata de
via, h
Bec cu incandescen 25 9,0 2500
Bec cu incandescen 40 9,0 1000
Bec cu incandescen 75 13,0 1000
Bec cu incandescen 100 16,0 1000
Bec cu incandescen (cuar) 50 19,0 2000
Bec compact fluoriscent (LFC)
4
8
13
18
45,0 6000-10 000
Bec fluoriscent T-8 n/d 75-100 12 000-24 000
Lamp cu halogeni n/d 80-115 10 000-20 000
Lamp cu vapori de natriu de joas presiune 35 128,0 5000
Suprafa LED 3,6 130,0 >100 000
Lamp cu vapori de natriu de presiune nalt n/d 90-140 10 000-24 000
Tabelul 4.4. Valori estimative ale duratelor de funcionare a consumatorilor de energie
electric pentru o cas izolat
h/zi
Lunile anului Consumator
Puterea
nominal, W
XII,I,II III,IV,V,IX,X,XI VI,VII,VIII
Iluminare buctrie 2x13 LFC 4,0 3,5 2,0
Iluminare dormitor 3x9 LFC 1,0 1,0 1,0
Iluminare living 2x20 LFC 1,0 1,0 1,0
Iluminare baie 1x18 LFC 1,0 1,0 1,0
Aspirator 1200 0,5 0,5 0,5
Frigider 100 7,0 7,0 7,5
TV color, 54 cm 60 4,0 4,0 4,0
Stereo 60 2,0 2,0 2,0
Cuptor cu microunde 600 0,5 0,5 0,5
Pomp pentru ap 200 1,0 1,0 1,0
Surse regenerabile de energie



- 37 -
Calculul cantitii de energie electric necesar de produs de modulul PV. Energia
care trebuie s fie produs de modulul PV


K
E
E
C
P
= , (4.26)
unde factorul K ia n consideraie incertitudinea datelor meteorologice, pierderile n cabluri,
abaterea punctului de funcionare a subsistemului modul PV sarcina de la cel optimal, etc.
Conform [44] valoarea factorului K pentru sistemele PV cu baterii de acumulatoare este
cuprins ntre 0,75 i 0,85.
Calculul puterii critice a modulului PV. Se determin cu formula


G K
E
G
E
P
C P
C

= = , (4.27)
unde G

- prezint valoarea medie a radiaiei solare globale pe perioada de interes n


localitatea dat pentru unghiul de nclinaie a modulului PV. n formula (4.27) G

este
numeric egal cu numrul de ore pe zi de radiaie solar standard egal cu 1000 W/m
2
i se
noteaz HRS.
n dependen de puterea P
C
alegem puterea unui modul PV i numrul de module conectate
n serie

m
cc
S
U
U
N = , (4.27)
unde U
cc
este tensiunea nominal a consumatoarelor de c.c.; U
m
tensiunea nominal a
unui modul PV, care de obicei se consider egal cu 12 V.
Numrul de module PV conectate n paralel se determin astfel. Se calculeaz curentul
mediu al sarcinii pe parcursul unei zile

cc
P
med
U
E
I
24
= . (4.28)
Totodat, din condiia pstrrii balanei de energie ntr-o zi, putem scrie

cc PV cc med
U I HRS U I = 24 sau
HRS
I
I
med
PV
24
= , (4.29)
Energia solar fotovoltaic



- 38 -
unde I
PV
este curentul panoului PV.
Numrul de module PV conectate n paralel va fi

sc
PV
P
I
I
N = , (4.30)
unde I
sc
este curentul de scurt circuit a unui modul PV i se consider aproximativ egal cu
curentul n punctul M (vezi figura 4.7)
Calculul capacitii acumulatoarelor. Se determin cu formula

cc D
C
U K
E n
C

= , (4.31)
unde n este numrul de zile fr soare; K
D
- coeficientul de descrcare a acumulatorului (0,5
-0,6 pentru Pb-acid i 1,0 pentru Ni-Cd).
Numrul de acumulatoare conectate n serie

A
cc
As
U
U
N = , (4.32)
unde U
A
tensiunea nominal a acumulatorului, de obicei egal cu 12 V.
Verificarea echilibrului consumului i producerii de energia electric. Verificarea se
face prin compararea cantitii de energie electric, E
i
, care va fi produs de panoul PV ntr-
o zi pentru fiecare lun din perioada de interes cu cantitatea de energie electric necesar
calculat conform 4.26. Calculele se efectueaz cu expresia

C i i
P HRS E = , (4.33)
unde HRS
i
este numrul de ore pe zi de radiaie solar standard egal cu 1000 W/m
2
pentru
luna respectiv.
4.6.2. Exemplu numeric
S se dimensioneze un sistem PV, care trebuie s asigure alimentarea cu energie electric a
urmtorilor consumatori i s se fac o estimare a costurilor n comparaie cu un grup
electrogen.
1. Trei puncte de iluminat cte 3 ore/zi cu becuri compacte fluoriscente, puterea 13 W,
tensiunea 12 V (pentru lectur);
Surse regenerabile de energie



- 39 -
2. Dou puncte de iluminat cte 5 ore/zi cu becuri compacte fluoriscente, puterea 4 W,
tensiunea 12 V (iluminat de paz, lamp de pat);
3. Patru ore de vizualizare a televizorului, puterea 60 W, tensiunea 220 V c.a.;
4. Frigider, puterea 100 W, durata de funcionare 7 h/zi, tensiunea 220 V c.a.

Perioada de exploatare a sistemului: aprilie octombrie. Numrul de zile ntr-o sptmn
de funcionare a consumatorilor n = 2. Numrul zilelor fr soare N = 2.
Rezolvare
Sistem PV
1. Consumul sptmnal de energie electric. Conform formulei (4.25) n cele 2 zile de
funcionare a utilajului electrotehnic se va consuma:
2456
9 , 0
100 7
9 , 0
60 4
9 , 0 95 , 0
4 5 2
9 , 0 95 , 0
13 3 3
2 = |
.
|

\
|
+


=
C
E Wh/spt.
2. Energia necesar de produs se determin cu (4.26)
3070
8 , 0
2456
= = =
K
E
E
C
P
Wh/spt.
3. Puterea critic a modulului PV se determin cu formula
1 , 5 7
3070
7
=

G
E
P
P
C
= 86 W
C
,
unde G

este radiaia solar global diurn incident pe suprafaa panoului PV. Se


presupune acelai unghi de nclinaie ca i n exerciiul 23 din capitolul 2 i deci
valoarea G

va fi aceiai.
Alegem dou module PV tip SATURN BS-50/55 cu puterea critic egal cu 50 W
C
,
curentul de scurt circuit I
sc
= 3,34 A, tensiunea de mers n gol U
0
= 21,14 V, curentul
n punctul maximal I
M
= 3,09 A, tensiunea n punctul maximal U
M
= 16,5 V. Cost
module PV: C
PV
= 4,5100 = 450 $.
4. Curentul mediu consumat de sarcin pe perioada sptmnii
53 , 1
12 7 24
3070
7 24
=

=

=
CC
P
med
U
E
I A
Energia solar fotovoltaic



- 40 -
i curentul generat de panoul PV
2 , 7
1 , 5
52 , 1 24
7
7 24
=


=
HRS
I
I
med
PV
A.
Numrul de module conectate n paralel
09 , 2
34 , 3
2 , 7
= = =
sc
PV
P
I
I
N .
5. Capacitatea acumulatoarelor se determin cu formula
682
12 6 , 0
2456 2
=

=
CC D
C
U K
E n
C Ah
Alegem 5 baterii de acumulatoare cu capacitatea standard de 150 Ah, care se vor
conecta n paralel. Cost acumulatoare: C
AC
= 51040 = 5200 MDL sau 400 $.
6. Verificm echilibrul consumului i producerii de energia electric pentru luna
octombrie, n care dispunem de cea mai mic radiaie solar - HRS = 3,48 h de
radiaie standard.
Energia produs de panoul PV ntr-o sptmn n luna octombrie:
2436 100 48 , 3 7 7 = = =
PV X
P HRS E Wh/spt.,
ceia ce este foarte aproape de consumul sptmnal de energie electric (vezi p.1).

Dac pe parcursul a dou zile va fi timp noros, consumul va fi asigurat de
acumulatoare. n acest caz gradul de descrcare a acumulatoarelor va fi
. 27 , 0
750 12
2456
=

=
AC CC
C
D
C U
E
K
n realitate dispunem de o rezerv de energie acumulat pentru 4 zile de timp noros.
7. Alegem puterea convertorului de frecven i a regulatorului descrcare-ncrcare
egal cu 250 W. Costul acestora este: C
C+R
= 20,3250 = 150 $.
8. Cost total al sistemului PV: C
TPV
= C
PV
+ C
AC
+ C
C+R
= 450 + 400 + 150 = 1000 $.

Surse regenerabile de energie



- 41 -
Grup electrogen
Din ofertele de pe piaa moldoveneasc alegem un grop electrogen tip EZ1400, P
nom
= 1,0
kW, Tensiunea U=220 V, monofazat, consum specific de benzin 0,6 l/h, volum ulei 0,5 l
(se schimb peste o 100 h de funcionare), durata de funcionare 3000 h, cost 850 $.
Puterea total a consumatorilor constituie:
207 100 60 8 13 3 = + + + =
cons
P W.
n ipoteza c toi consumatorii funcioneaz concomitent coeficientul de sarcin al grupului
electrogen nu va depi valoarea
21 , 0
1000
207
= =
sar
K .
Conform [27] dac coeficientul de sarcin scade de la 80 % pn la 50 %, randamentul
grupului electrogen scade de 2 ori, respectiv va crete de dou ori consumul de combustibil
de la 0,6 pn la 1,2 l/h.
Numrul de ore de funcionare per sptmn va fi 224 = 48 h. Volumul de benzin
consumat n perioada aprilie octombrie:
0 , 1757 5 , 30 48 2 , 1 = =
B
V l.
Cost benzin
1487
13
11
1757 = =
Comb
C $.
Cost mentenan constituie 9 % din investiia anual [46] . n cazul nostru investiia anual
constituie 425 $ (peste 3000 h grupul se renoveaz).
0 , 38 425 09 , 0 = =
Ment
C $.
Cost total la primul an de exploatare al grupului electrogen:
2375 38 1487 850 = + + =
EG
C $.


Energia solar fotovoltaic



- 42 -
4.7. Domeniile de utilizare a energiei electrice fotovoltaice
n prezent se atest o dezvoltare accelerat a electrificrii rurale descentralizate n rile n
curs de dezvoltare (CD) folosind energia solar. Dei tehnologia PV se consider scump,
rata de cretere a produciei mondiale de celule PV n a. 2000 a constituit 60,5 % (vezi
paragraful 4.2). De ce n CD nu se merge pe aceeai cale parcurs de rile europene n
domeniul electrificrii? Motivele care limiteaz aplicarea soluiei tradiionale conversia
termic a combustibilului fosil n energie electric i distribuirea ei prin reele sunt
urmtoarele:
1. Majoritatea consumatorilor rurali de energie electric sunt situai la distane mari de
la reelele electrice deja existente i densitatea lor este mic;
2. Cererea de energie electric este mic i se ncadreaz n limita de 10 20 kWh/zi;
3. De obicei, energia electric este folosit pentru iluminare, telecomunicaii i n
acionrile electrice ale micilor instalaii motorizate: pompe electrice, scule de atelier
de mic putere etc.
n aceste condiii electrificarea pe calea tradiional prezint o soluie ineficient att din
punct de vedere economic ct de mediu. Cresc investiiile i cheltuielile de exploatare, cresc
emisiile specifice de GES calculate per capita de oarece scade randamentul transportrii i
distribuiei unui kWh de energie electric.
Republica Moldova, de asemenea, are statut de CD, dar infrastructura electroenergeticii
difer de cea din CD din Asia, Africa i America Latin. Densitatea populaiei i deci a
consumatorilor de energie electric ce revine la un kilometru de reea electric este cu mult
mai mare. Majoritatea absolut a localitilor rurale, unitilor economice este conectat la
reelele electrice publice i ar prea c argumentele n defavoarea electrificrii tradiionale
menionate mai sus nu pot fi valabile i pentru ara noastr. Dar studiile efectuate n ultimii
ani [47], demonstreaz existena a sute de consumatori mici de energie electric i dispersai
teritorial pentru care unica soluie raional este cea oferit de tehnologia PV.
Vom meniona aici doar trei categorii de astfel de consumatori: instalaiile de pompare a
apei pentru irigarea mic, posturile de lansare a rachetelor antigrindin i micii consumatori
de energie electric dispersai teritorial.

Surse regenerabile de energie



- 43 -
Prin Hotrrea Guvernului RM Nr. 256 din 17.04.2001 Cu privire la reabilitarea
sistemelor de irigare s-a aprobat Programul de reabilitare a sistemelor de irigare pe
perioada 2001 2008. Conform acestui Program se prevede irigarea suprafeelor mici de 1,
5, 10 ha. Capacitatea total a irigrii mici constituie 36 mii ha sau 22 % din suprafaa
irigabil total de circa 160 mii ha. Ca surse de ap se vor folosi cele 3000 de acumulri de
ap, lacuri etc. din care cele mai importante sunt 411.
Cu scopul de a evalua numrul de consumatori poteniali de energie electric fotovoltaic
s-au analizat datele statistice cu privire la producerea legumelor n gospodriile rneti
(G). n a. 2000 numrul total de G a constituit 131,6 mii n posesia crora se aflau 285,4
mii ha de terenuri agricole sau, n mediu, cte 2,2 ha la o G. Producia legumelor n G a
constituit 87 mii tone sau 24 % din producia total pe ar i a fost obinut de pe o
suprafa de 12,6 mii ha. n ipoteza c toat suprafaa de 2,2 ha a fost nsmnat cu
legume, obinem numrul minim de G de 5700 care au necesitate de ap pentru irigare.
Conform unui studiu sociologic efectuat n august 2001 de Organizaia neguvernamental
Federaia Naional AGROinform n colaborare cu Centrul Contact, circa 23,5 % de G
din cele chestionate au ca activitate principal cultivarea legumelor. Astfel, numrul real
de consumatori de ap pentru irigare poate fi de 5-6 ori mai mare.
Serviciul Antigrindim al RM cuprinde 150 posturi de lansare a rachetelor antigrindin i 12
staii - Centre de comand, care au n componen sa i echipamente de rencrcare a
acumulatoarelor. Din considerente de securitate posturile antigrindin sunt amplasate la o
distan de 2-3 km de la hotarele localitilor rurale. Distan medie dintre postul
antigrindin i Centrul de comand este de circa 50 km. Deoarece consumul de energie
electric la un post antigrindin este mic (nu depete 0,15 kWh/zi), nu se justific
economic alimentarea acestora de la reelele electrice publice. n prezent alimentarea cu
energie electric a consumatorilor posturilor antigrindin se efectuiaz de la acumulatoare.
Periodic, acumulatoarele se rencarc la Centrul de comand corespunztor, care deservete
12-15 posturi. n acest scop se cheltuie o cantitate considerabil de combustibil lichid
(benzin sau motorin) pentru transport. Perioada de exploatare activ a posturilor
antigrindin este aprilie septembrie i coincide cu perioada de radiaie maximal pe
teritoriul RM. Utilizarea modulelor PV pentru rencrcarea acumulatoarelor direct la post,
reduce considerabil cheltuielile de combustibil lichid, numrul i capacitatea
acumulatoarelor.

Energia solar fotovoltaic



- 44 -
Astfel, numrul minim de utilizatori poteniali de energie electric PV n aceste dou
sectoare irigarea mic n gospodriile rneti i posturile antigrindin - se cifreaz la
5850. Ct privete numrul exact de consumatori de energie electric dispersai teritorial
este dificil de determinat. n aceast categorie se includ gospodriile auxiliare ale
fermierilor, care sunt amplasate pe loturile respective de pmnt, construcii auxiliare din
sectoarele silvicultur i apicultur. Toi aceti poteniali consumatori de energie electric
nu sunt conectai la reelele electrice publice i din considerente economice nu vor fi
conectai.
n tabelul 4.5 sunt prezentate rezultatele calculelor cererii de energie electric PV i puterii
modelelor fotovoltaice n urmtoarele condiii: randamentul agregatului de pompare - 32 %,
modulelor PV 14 %, norma de irigare 2000 m3/ha, consum specific de energie electric
a unei gospodrii auxiliare 1-2 kWh/zi.
Tabelul 4.5. Numrul de consumatori i cererea de energie electric PV

Consumatori
Numrul de
consumtori
Cererea de
energie electric
Puterea modulelor
PV, kW
c
Irigarea mic 5700 3,2.10
6
kWh/sezon 6300
Serviciul antigrindin 150 2800 kWh/sezon 7,5
Gospodrii auxiliare
ale fermierilor,
ocolurilor silvice,
apicultur
Cteva mii 200-500 kWh/sezongospodrie 0,25-0,5 kW
C
/Gosp.
Sistem autonom PV pentru alimentarea posturilor antigrindin. A fost elaborat n anul
2004 la catedra de Electromecanic a UTM. Este destinat alimentrii cu energie electric a
consumatorilor postului antigrindin, dar poate fi folosit pentru alimentarea i a altor
consumatori mici de energie electric, care din motive economice i tehnice nu sunt
racordai la reelele electrice publice.
Construcia sistemului PV este prezentat n figurile 4.24 i 4.25 Toate componentele
sistemului sunt montate pe carcasa dispozitivului de orientare 1, care asigur dou grade de
libertate modulului fotovoltaic 7 montat pe suportul 6 confecionat din evi dreptunghiulare.
Cu ajutorul mnerului 5 panoul fotovoltaic 7 se fixeaz ntr-o poziie oarecare fa de
orizont. Unghiul dintre planul modulului PV i planul orizontal, altfel spus unghiul de
nlare a soarelui poate fi variat n gama 0 70
0
. ntreaga construcie poate fi orientat n
planul orizontal local (variaia unghiului azimutal).
Surse regenerabile de energie



- 45 -
Principalele componente ale sistemului, elemente constructive i auxiliare, sunt montate n
dulapul de distribuie 2, inclusiv pe partea exterioar a uii (figura 4.24) i interioar (figura
4.25).



Pe partea exterioar a uii dulapului 2 sunt montate: voltmetrul 4, ampermetrul 8,
ntreruptorul automat 9, bornele 10 =12 V, priza 11 Pompa pentru alimentarea pompei

Figura 4.24. Sistemul PV pentru alimentarea postului antigrindin: 1- dispozitiv de orientare;
2- dulap de distribuie; 3 -borne = 27 V; 4 - voltmetru; 5- mnerul mecanismului de reglare a
unghiului de nlare a soarelui; 6 -suport panou PV; 7- panou PV; 8 - ampermetru; 9 -
ntreruptor automat; 10 - borne = 12 V; 11 - priz Pompa; 12 - priz ~220 V
Energia solar fotovoltaic



- 46 -
cu acionare electromagnetic, priza 12 ~ 220 V pentru alimentarea posturilor de iluminat
i bornele =27 V.
n interiorul dulapului 2 este montat acumulatorul 13, pe partea interioar a uii
transformatorul de ridicare 14, convertorul de tensiune 16 c.c./ c.a. 12/220 V, convertorul 17
de ridicare a tensiunii c.c./c.c. 12/27 V i condensatorul 15 care se conecteaz n serie cu
nfurarea electromagnetului pompei cu vibrator.


Date tehnice:
1. Putere modul PV - 60 W
C
;
2. Capacitatea bateriei de acumulare - 45 Ah, 12 V;

Figura 4.25. Utilajul montat n cutia de distribuie: 13 acumulator; 14
transformator de ridicare; 15 condensatorul din circuitul nfurrii pompei; 16
invertortorul 12 V c.c./ 220 V c.a.; 17 - convertorul de tensiune 12 V c.c./ 27 V c.c.
Surse regenerabile de energie



- 47 -
3. Asigur alimentarea cu energie electric a consumatorilor postului antigrindin (12 V
c.c.; 27 V c.c.; 220 V c.a.); pomparea 1 m
3
/zi de ap de la adncimea de 20 m;
alimentarea a 2 becuri LFC, 12 W, pe durata de 4 h/zi i a unui televizor color pe durata
de 3 h/zi.
Sistem PV pentru mica irigare. A fost elaborat n anul 2004 la catedra de Electromecanic
n cadrul Proiectului Elaborarea i implementarea unui sistem pentru mica irigare folosind
energia solar finanat de Consiliul Suprem pentru tiin i Dezvoltare Tehnologic.
Sistemul a fost testat n gospodria ntreprinderii Dendrocultagro din or. Hnceti,
domeniul principal de activitate a creia este creterea i comercializarea puieilor pentru
mpdurire, inclusiv a puieilor de nuc. Schema tehnologic este prezentat n figura 4.26.
Panoul PV alimenteaz cu energie electric pompa solar cu acionare electromagnetic
montat n fntn. Apa este acumulat ntr-un rezervor cu un volum de 16 m
3
, care este
amplasat la o nlime de circa 5 m n raport cu terenul irigat. Distribuirea apei ctre fiile
cu arbuti se efectueaz datorit forei de gravitaie prin conducte din mas plastic, udarea
se realizeaz cu aspersoare cu vrtej de joas presiune.
Distana dintre surs i rezervorul de ap este de 120 m, iar dintre sursa de ap i panoul PV
100 m. nlimea manometric total este de 20 m. Pentru alimentarea pompei s-a montat
un cablu electric cu seciunea de 4 mm
2
. Pentru transportarea apei din fntn n rezervor se
utilizeaz eav din polipropilen cu diametrul 20 mm. Panoul PV i pompa solar cu
acionare electromagnetic sunt prezentate respectiv n figurile 4.27 i 4.28.
Date tehnice:
1. Putere panou PV - 240 W
C
;
2. Tensiunea de funcionare n punctul de putere maximal - 68 V;
3. Tensiunea nominal a pompei solare - 220 V c.a.
4. Randamentul pompei - 37 %;
5. Curentul de funcionare n punctul de putere maximal - 3,5 A;
6. Debit nominal - 0,5 m
3
/h;
7. nlimea manometric total - 40,0 m;
n condiiile reale menionate mai sus sistemul PV asigur ntr-o zi nsorit pomparea a
circa 8,0 m
3
de ap. Radiaia solar minimal necesar pentru funcionarea stabil a
sistemului este de 270 W/m
2
. Sistemul PV are urmtoarele particulariti:
Utilizarea pompei solare cu acionare electromagnetic, care este cu mult mai
ieftin dect pompele centrifugale, cu piston sau diafragm;
Energia solar fotovoltaic



- 48 -
Pornire facil;
Alimentare monofazat;
Convertor de frecven cu tensiune la ieire dreptunghiular, fr transformator
de ridicare;
Reglarea frecvenei n dependen de tensiunea de alimentare
Figura 4.26. Schema tehnologic a sistemului PV de pompare
Surse regenerabile de energie



- 49 -

Figura 4.27. Panoul PV al sistemului de pompare.
Figura 4.28. Pompa solar cu vibrator electromagnetic

Energia solar fotovoltaic



- 50 -
4.8. Aspecte economice
4.8.1. Metodica de evaluare economic a sistemelor PV
Energia obinut din surse regenerabile se caracterizeaz prin investiii iniiale mari i
costuri de exploatare (operaionale) mici. Odat cu decizia utilizrii energiei electrice PV
urmeaz imediat ntrebarea: merit oare a investi ntr-un sistem autonom PV, avnd variante
alternative posibiliti tehnice de extindere a reelei electrice publice sau folosirea unui
grup electrogen? Rspunsul la aceast ntrebare va fi dat doar efectund o analiz
economic a acestor trei variante.
n prezentul paragraf va fi expus analiza economic a celor trei variante de alimentare a
unor consumatori de mic putere folosind energia solar fotovoltaic, un grup electrogen
sau reelele electrice publice. Ca funcie-int pentru studiu s-a ales dependena costului
unui kWh de energie electric de consumul diurn de energie.
Metodele generale de analiz economic i luare a deciziilor de investiii sunt descrise n
lucrrile [48 50]. Informaii utile cu privire la metodele de analiza economic utilizate n
sistemele de transport i distribuie a energiei electrice pot fi gsite n [51], iar cu privire la
analiza economic a diferitor surse regenerabile de energie n [22, 41, 46, 52]. n prezenta
lucrare vom utiliza metoda de analiz numit life cycle cost costul pe durata de via.
Aceast metod ia n consideraie valoarea n timp a banilor sau valoarea actualizat a
fluxului monetar pe ntreaga durat de funcionare a sistemului. n continuare se vor
prezenta expresiile analitice utilizate pentru calcule i informaiile de caracter general
necesare pentru efectuarea calculelor i comparrii variantelor.
Investiiile iniiale pentru procurarea i instalarea unui sistem autonom PV includ
componentele prezentate n tabelul 4.6.
Tabelul 4.6. Componentele costurilor ntr-un sistem autonom PV i un grup electrogen
Variante de alimentare cu energie electric a consumatorilor
Nr. crt
Sistem autonom fotovoltaic Grup electrogen
1. Module fotovoltaice i suportul Grup electrogen
2. Convertor de tensiune -
3. Acumulator -
4. - Combustibil
5. ngrditur Adpost
6. Manopera pentru instalare Manopera pentru instalare
7. Cheltuieli de exploatare Cheltuieli de exploatare

Surse regenerabile de energie



- 51 -
Aceste cheltuieli pot fi suportate de proprietar din surse proprii sau dintr-un mprumut
bancar. n ultimul caz se vor lua n consideraie dobnda pentru creditul bancar. n calculele
ce urmeaz presupunem c cheltuielile cu investiia sunt din surse proprii.
Tabelul 4.7. Costul extinderii reelelor aeriene (LA) i transformatoarelor de mic putere
Tip linie LA, 10 kV LA, 0,4 kV
Condiii climaterice Speciale 3-4 Speciale 3-4
Costul specific a LA, mii $/km SUA 7,3 7,1 8,9
*
7,1
*
Puterea transformatorului, kVA 5 10 25 30
Cost transformator, $ SUA 270 670 1270 1330
*
- include i costul postului de transformare
A treia variant de alimentare cu energie electric poate fi realizat prin extinderea reelelor
electrice publice de 0,4 sau 10 kV. Costurile extinderii (vezi tabelul 4.7) au fost puse la
dispoziia noastr de Institutul de Proiectri Energoproiect.
n form analitic costul investiiilor actualizate n echipamentul sistemului autonom PV
poate fi exprimat astfel

RC C RA A PV
C AS C A AS A EA PV PV SPV
I I I I I
I K I I K I C P C I
+ + + + =
= + + + + + =
10 10
(4.34)
unde I
SPV
este costul total al echipamentului sistemului, $ SUA; C
PV
- costul specific al
modulelor solare, $/W
C
; P
PV
puterea modulelor PV, W
C
; C
EA
costul elementelor auxiliare;
I
A
costul acumulatoarelor; I
C
costul convertorului; I
RA
costul de renovare actualizat al
acumulatoarelor peste 10 ani; I
CA
costul de renovare actualizat al convertorului peste 10
ani;
EA PV PV PV
C P C I + = - costul sistemului fotovoltaic care include i costul elementelor
auxiliare (vezi expresia 4.36); K
AS10
coeficientul de actualizare simpl (vezi expresia 4.35).
386 , 0
) 1 (
1
10
10
=
+
=
i
K
AS
, (4.35)
unde i=0,1 rata de actualizare.
La etapa iniial de analiz economic costul C
EA
al elementelor auxiliare nu este cunoscut.
Se determin aceste costuri n dependen de costul total al sistemului PV. Conform
recomandrilor [38] costul elementelor auxiliare constituie 6,0 % din costul total al
modulelor PV, inclusiv suportul i cablajul 4,0 %, alte cheltuieli 2 %. Astfel, costul
modulelor fotovoltaice care include i costul elementelor auxiliare se va determina cu
relaia
Energia solar fotovoltaic



- 52 -

PV PV PV
P C I = 06 , 1 . (4.36)
Costul convertorului de tensiune se determin n dependen de puterea modulelor PV

PV C C
P C I = , (4.37)
unde C
C
este costul specific al convertorului de frecven, USD/VA.
Cheltuieli anuale de exploatare a sistemului PV se determin n mrime de 1 % din
investiiile iniiale [46],
) ( 01 , 0
C A PV EPV
I I I C + + = . (4.38)
Cheltuieli de exploatare actualizate pentru sistemul PV

AU EPV EPVA
K C C = , (4.39)
unde K
AU
coeficientul de actualizare uniform, care pentru o perioada de studiu t=20 ani
este egal

51 , 8
) 1 ( 1
=
+
=

i
i
K
t
AU
. (4.40)
Cheltuieli totale actualizate efectuate pentru sistemul PV

EPVA SPV PV
C I CTA + =
. (4.41)
Costul unui kWh de energie electric pentru ambele variante se determin cu relaia

W
CTA
C
EE
=
, (4.42)
unde CTA prezint cheltuielile totale actualizate pe durata de studiu; W, kWh volumul de
energie electric consumat pe durata actualizat de 8,51 ani.
Costul investiiilor actualizate n echipamentul sistemului electrogen poate fi exprimat
astfel

17 13 9 5
17 13 9 5
R R R R EG
EG AS EG AS EG AS EG AS EG AEG
I I I I I
I K I K I K I K I I
+ + + + =
= + + + + =
, (4.43)
Surse regenerabile de energie



- 53 -
unde I
EG
costul iniial al grupului electrogen; I
R5
, I
R9
, I
R13
, I
R17
costul de renovare a
grupului electrogen respectiv peste 5, 9, 13 i 17 ani; coeficienii de actualizare simpl se
determin cu expresia (4.35) pentru duratele respective: K
AS5
=0,621, K
AS9
=0,424,
K
AS13
=0,289, K
AS17
=0,198.
Cheltuieli anuale de exploatare pentru grupul electrogen constituie 9 % (include reparaiile
curente, personalul, costul uleiului, nu include costul combustibilului) din investiiile iniiale
[46] sau

EG EEG
I C 09 , 0 =
. (4.44)
Cheltuieli de exploatare actualizate pentru grupul electrogen

AU EEG EEGA
K C C =
. (4.45)
Costul combustibilului lund n considerare inflaia

C
t
i C Ci
V r C C + = ) 1 (
, (4.46)
unde C
C
este costul prezent al combustibilului, USD/l; r
i
rata anual de cretere a costului
combustibilului; V
C
volumul consumat de combustibil.
Cheltuieli totale de exploatare

Ci EEG E
C C C + = . (4.47)
Cheltuieli totale de exploatare actualizate

AU E EA
K C C = . (4.48)
Cheltuieli totale actualizate efectuate pentru grupul electrogen

EA AEG EG
C I CTA + = . (4.49)
Varianta a treia const n extinderea reelei electrice publice. Din tabelul 4.7 rezult costul
mediu de extindere a reelelor electrice publice de 7600 $/km. Totodat, este cunoscut c
costul unui kWh de energie electric crete dac consumul de energie electric este mic. n
cazul nostru, se opereaz cu consumuri de cteva sute Wh sau civa kWh pe zi. Dac
consumul de energie electric este sezonier (de exemplu, posturile antigrindin) reeaua
electric va trebui s fie deconectat i apare o nou problem - pericolul de devastare. Din
Energia solar fotovoltaic



- 54 -
aceste considerente, varianta a treia extinderea reelelor electrice publice, nu concureaz
din cauza investiiilor mari i consumurilor mici de energie electric i este exclus din
analiza ulterioar.
4.8.2. Costul unui kWh de energie electric
n calitate de indice economic pentru ambele variante s-a stabilit costul unui kWh de energie
electric. Parametrii tehnici i economici:
Durata de funcionare - t = 20 ani;
Rata de actualizare - i = 0,1;
Rata anual de cretere a preului combustibilului r = 0,05;
Costul combustibilului C
C
= 0,5-0,9 $/l;
Costul actual specific al modulelor PV, C
PV
=4,5 $/W
C
;
Costul actual specific al convertorului de frecven C
CF
= 0,5 $/VA;
Termenul de renovare a convertoarelor i acumulatoarelor 10 ani;
Termenul de renovare a grupului electrogen 4 ani (durata de funcionare a
agregatelor motor generator cu puterea de pn la 3 kW este de 4000 h, 4-16 kW
6000 h, cu puterea mai mare de 30 kW 20 000 h);
Perioada de utilizare 15 martie 15 octombrie, n aceast perioad radiaia solar
un planul modulului PV este de 5,0 kWh/m
2
.zi. Durata de autonomie N= 3 zile (trei
zile la rnd nu este soare). Durata de funcionare a sistemului n zi 5 h.
Costul prezent al acumulatoarelor Ni-Cd 1,2 $/Ah.
Rezultatele calculelor sunt interpretate grafic n figura 4.29. Se constat urmtoarele:
1) La preul actual al benzinei de 0,85 $/l sistemul autonom PV concureaz cu grupul
electrogen dac consumul diurn de energie electric nu depete 20 kWh pentru radiaia
medie 4 kWh/m2.zi.
2) Costul unui kWh de energie electric PV nu depinde de consumul diurn, respectiv de
puterea instalat a modulelor PV. Pentru perioada analizat (15 martie-15 octombrie,
radiaia medie global este egal cu 5 kWh/m
2
zi) costul unui kWh de energie electric PV
este de 0,78 $.
Surse regenerabile de energie



- 55 -
n figura 4.30 sunt prezentate costurile comparative ale unui kWh de energie electric
obinut de la un sistem PV, grup electrogen cu motor Diesel i de la reeaua electric
public (dac aceasta va fi extins). Rezultatele au fost obinute de Tomas Markvart,
publicate n monografia [41] i sunt valabile pentru urmtoarele condiii:
Durata de funcionare - t = 20 ani;
Rata de actualizare - i = 0,1;
Radiaia solar 5,5 kWh/m
2
zi;
Cost specific module PV 4,5 $/W
C
;
Cost specific baterii de stocare 1,2 $/Ah;
Cost combustibil 0,25-0,5 $/l (mult mai mic dect n R. Moldova);
Rata anual de cretere a preului combustibilului r = 0,0 %;
La preul combustibilului de 0,5 $/l i cost specific pentru un watt PV instalat de circa 7,5
$/l sistemul PV concureaz cu grupul electrogen dac consumul diurn nu depete 5 kWh.
Extinderea reelei electrice publice pe o distan de 1 km devine competitiv dac consumul
diurn depete 15 kWh.

Figura 4.29. Costul energiei electrice produs de sisteme autonome:
sistem PV i grup electrogen
Energia solar fotovoltaic



- 56 -
Figura 4.30. Costul energiei electrice obinut de la module PV, grup electrogen sau reea
electric public
ntrebri i exerciii pentru autoevaluare
1. Care sunt avantajele i dezavantajele tehnologiei PV n comparaie cu tehnologia
termic solar de producere a energiei electrice?
2. Numi-i etapele de dezvoltare a tehnologiei PV i descrie-i evoluia modern a
tehnologiei i aplicaiilor sistemelor PV.
3. Descriei construcia i principiul de funcionare a celulei PV.
4. Prezentai schemele echivalente ale celulei PV i caracteristicile acesteia.
5. Numii i descrie-i parametrii principali ai celulei modulului PV.
6. Ce prezint celula PV un generator de tensiune sau curent?
7. Cum influeneaz temperatura i radiaia solar asupra caracteristicilor I-U a unui
modul PV?

Surse regenerabile de energie



- 57 -
8. Productorul garanteaz urmtorii parametri ai modulului PV: tensiunea la mers n
gol 21,5 V; curentul de scurtcircuit 7,1 A; NOCT 42
0
C. Care vor fi valorile
tensiunii, curentului i temperaturii celulei PV, dac radiaia solar este egal cu 600
W/m
2
i temperatura mediului este 25
0
C?
9. Puterea nominal a pompei cu motor de c.c. este egal cu 600 W, tensiunea 110 V.
Alegei n prima aproximaie puterea i numrul modulelor PV i prezentai schema
de conexiune a acestora. Radiaia medie solar pe suprafaa panoului PV este egal
cu 800 W/m
2
i temperatura mediului este 25
0
.
10. Prezentai structura unui sistem autonom PV care trebuie s alimenteze un
consumator de c.c. i unul de c.a.
11. Ce capacitate trebuie s aib o baterie de acumulatoare Ni-Cd pentru a asigura o
autonomie de 5 zile dac consumul diurn constituie 500 Wh?
12. Trasai caracteristicile I-V ale modulului tip KC120 pentru condiii standard i
radiaia solar egal cu 0,5 din cea standard i caracteristicile I-V a dou sarcini:
acumulator (tensiunea de mers n gol 14,5 V, curentul la ncrcare 3 A); rezistor ( 0,4
). Comentai rezultatele obinute.
13. Explicai principiul de funcionare al dispozitivului MPPT.
14. Ce funcii realizeaz convertoarele c.c./c.c. ntr-un sistem PV?
15. Numii principalele tipuri de invertoare folosite n sistemele PV. Care sunt
avantajele i dezavantajele acestora?
16. Descriei procedura general de dimensionare a unui sistem PV.
17. Care sunt domeniile de utilizare a energiei electrice PV n RM?
18. Care sunt condiiile de rentabilitate ale unui sistem PV la etapa actual?
19. Explicai esena metodei de analiz economic LCC Life Cycle Cost.

1



CUPRINS


1. Filtre electrice
1.1. Generaliti
1.2. Proiectarea filtrelor pe baza parametrilor imagine
1.3. Filtre Butterworth
1.4. Filtre Cebev
1.5. Filtre obinute prin transformri de frecven

2. Amplificatoare
2.1. Generaliti
2.2. Etaj de amplificare cu tranzistor bipolar n conexiune emitor comun
2.3. Etaj de amplificare cu tranzistor bipolar n conexiune colector comun
2.4. Etaj de amplificare cu tranzistor bipolar n conexiune baz comun
2.5. Conceptul de reacie
2.6. ConFiguraii ale circuitelor cu reacie negativ
2.7. Influena reaciei negative asupra benzii de frecven, a distorsiunilor neliniare
i a impedanelor de intrare i ieire ale amplificatorului

3. Oscilatoare
3.1. Generaliti
3.2. Oscilatoare cu reea defazoare
3.3. Oscilatoare cu reea Wien

4. Circuite cu impulsuri
4.1. Generaliti
4.2. Circuite RC de ordinul I n regim de impulsuri
4.3. Tranzistorul bipolar n regim de comutaie
4.4. Circuitul basculant bistabil
4.5. Circuitul basculant monostabil
4.6. Circuitul basculant astabil
4.7. Circuite de tensiune liniar variabil

5. Redresoare i stabilizatoare
5.1 Generaliti
5.2. Redresoare
5.3. Stabilizatoare parametrice
5.4. Stabilizatoare cu reacie

6. Circuite integrate
6.1 Generaliti
6.2. Amplificatoare operaionale
6.3. Aplicaii ale amplificatoarelor operaionale
6.4. Comparatoare i stabilizatoare
2
6.5. Circuite logice bipolare
6.6. Circuite logice MOS

7 7. . N No o i iu un ni i d de es sp pr re e m mo od du ul la a i ia a s se em mn na al le el lo or r
7.1. Noiuni introductive privind modulaia semnalelor
7.2. Modulaia de amplitudine cu purttor armonic
7.3. Modulaia de frecven i de faz cu purttor armonic
7.4. Comparaie ntre metodele de modulaie cu purttor armonic
7.5. Modulaia impulsurilor n amplitudine


Bibliografie



































3

1.Filtre electrice

1.1. Generaliti

Filtrele electrice sunt circuite de transmisie care se comport selectiv n frecven.
Un circuit de transmisie este ideal dac nu produce distorsionarea semnalului aplicat la
intrare (Fig. 1.1.). n acest caz, semnalul de ieire y(t) se exprim n funcie de semnalul
de intrare prin relaia:
( ) ( )
0
= t Ax t y (1.1.)
unde A este factorul de scar, iar
0
este ntrzierea ce caracterizat circuitul de
transmisie ideal. Aplicnd transformata Fourier relaiei (1.1.) i mprind ambii membri
ai relaiei astfel obinute cu X(je ) se obine funcia de transfer de frecven a circuitului
de transmisie ideal:
( )
( )
( )
0
e
e
e
e
j
e A
j X
j Y
j H

= = (1.2.)
avnd modulul constant (Fig. 1.2. a):
( ) A j H = e (1.3.)
i faza liniar (Fig. 1.2. b):
( )
0
e e = (1.4.)
Atunci cnd ( ) e j H are valori subunitare (semnalul de ieire este mai mic dect cel de
intrare) n locul modulului funciei de transfer se folosete atenuarea a(e ) a circuitului:

Figura 1.1

Rezult c atenuarea circuitului ideal de transmisie (Fig. 1.2. c) trebuie s fie
independent de frecven:
( )
( ) A H
a
1
ln
1
ln = =
e
e (1.5.)
defazarea indus de aceasta (Fig. 1.2. d) trebuie s fie o funcie liniar de frecven:
( ) ( )
0
e e e = = b (1.6.)
iar timpul de ntrziere de grup (Fig. 1.2. e):
( )
( )
0

e
e
e = =
d
db
g (1.7.)
4

Figura 1.2

Filtrul ideal este un circuit de transmisie ideal care introduce o atenuare nul ntr-
un interval de frecven numit band de trecere i o atenuare infinit n intervalul de
frecven complementar numit band de blocare. Frecvenele ce separ benzile de trecere
de cele de blocare se numesc frecvene de tiere.
Dup modul de dispunere a benzilor de trecere i de blocare, filtrele pot fi:
- filtru trece jos (FTJ), care las s treac frecvenele joase i le blocheaz pe cele
nalte;
- filtru trece sus (FTS), care las s treac frecvenele nalte i le blocheaz pe cele
joase;
- filtru trece band (FTB), cu o band de trecere intercalat ntre dou benzi de trecere;
- filtru oprete band (FOB), cu o band de blocare intercalat ntre dou benzi de
trecere.
n Fig. 1.3. a i b sunt prezentate grafic cu linie continu modulul H(je ) al FTJ la
frecvene fizice i atenuarea. Aa cum se va arta n continuare, un FTJ ideal nu este fizic
realizabil, de aceea, pentru un filtru real, caracteristicile impuse reprezentate cu linie
punctat n Fig. 1.3. sunt date prin relaiile:
( ) max a a < e sau ( ) 1 min < < e j H H ; n banda de trecere.
( ) min a a > e sau ( ) max H j H < e ; n banda de blocare.
n plus, ntre banda de trecere i cea de blocare se accept un interval de frecven numit
band de tranziie pentru c un filtru fizic realizabil nu poate realiza o selecie abrupt aa
cum s-ar dori n mod ideal.
Caracteristicile de atenuare din Fig. 1.3. sunt cunoscute sub numele de gabarit al
filtrului i reprezint cerinele tehnice impuse filtrului la proiectare.
Un FTJ ideal este definit n frecven prin funcia de transfer:
( )

>
s
=

t daca o
t daca e
j H
j
e
e e
e
e
,
,
0
(1.8.)

5

Figura 1.3.

n Fig. 1.4. a sunt reprezentate cu linie continu modulul ( ) e j H al funciei de
transfer i cu linie ntrerupt faza ( ) e ale crei valori extreme corespunztoare
frecvenelor de tiere t e s-au considerat a fi
2
t
n .
Funcia pondere h(t) a FTJ ideal este transformata Fourier invers a funciei de
transfer (1.8.). Pentru simplificare se calculeaz mai nti transformata Fourier invers a
modulului funciei de transfer:
( ) ( ) | | ( ) t c
t
d e d e j H j H F t h
t
t j t j
t
t
e
t
e
e
t
e e
t
e
e
e
e e
sin
2
1
2
1
1
0
= = = =
} }

+

(1.9.)
i folosind proprietatea de deplasare n timp a transformatei Fourier se obine funcia
pondere:
( ) ( ) | | ( ) ( ) | |
0 0 0
1
sin
0
e
t
e
e
e
= = =

t t c
t
t h e j H F t h
j
(1.10.)
reprezentat grafic n Fig.1.4. b.

Fig. 1.4.

6
Din Fig. 1.4. b rezult c FTJ ideal nu este cauzal i n consecin irealizabil fizic,
deoarece rspunsul h(t) la funcia impuls unitate ( ) t exist i pentru t<0, deci precede
excitaia.
Anterior s-a prezentat o clasificare a filtrelor din punct de vedere al benzilor de
trecere i blocare.
Un alt criteriu de clasificare al filtrelor este cel referitor la tipul semnalelor
prelucrate n funcie de care filtrele pot fi:
- filtre analogice, care prelucreaz semnale continue;
- filtre numerice, care prelucreaz semnale discrete.
Din punct de vedere al surselor de energie exist:
- filtre pasive, care au n compunere doar rezistene, bobine i condensatoare;
- filtre active, care n afar de elementele de circuit pasive, conin i tranzistoare,
amplificatoare operaionale, etc.
n continuare, prin filtru electric sau mai simplu filtru se nelege un filtru analogic pasiv.


1.2. Proiectarea filtrelor pe baza parametrilor imagine

Aceast metod de proiectare realizeaz sinteza unei celule elementare de filtrare
pe baza unor clase de funcii complexe n s asociate parametrilor imagine ai celulei
respective. Parametrii imagine caracterizeaz un diport (Fig. 1.5. a) pentru care s-a
realizat adaptarea la ambele pori. Pentru aceasta, impedanele terminale z
g
i z
s
trebuie s
fie egale cu impedanele imagine ale diportului date de relaiile:

02
2
2
1
1
01
z
I
U I
U
Z
=

=
01
1
1
2
2
02
z
I
U I
U
Z
=

= (1.11)
La un diport simetric, impedanele imagine sunt egale:

c
Z Z Z = =
02 01
, unde Z
c
se numete impedana caracteristic a diportului.
Ceilali doi parametri imagine ce caracterizeaz un diport sunt exponenii de transfer pe
imagine ntre pori n condiii de adaptare:

2 2
1 1
12
ln
2
1
I U
I U
g

=
1 1
2 2
21
ln
2
1
I U
I U
g

= (1.12.)
Pentru diporii pasivi, datorit proprietii de reciprocitate, este valabil relaia:
g g g = =
21 12
.
Un diport pasiv este deci caracterizat de trei parametri imagine: Z
01
, Z
02
i g, iar n
cazul n care este i simetric, doar de Z
c
i g. Pentru s=je este util s separm prile
real i imaginar ale exponentului de transfer:
( ) ( ) ( ) e e e
e
jb a e
I U
I U
j g
j s
I U
I U
j
+ =

=
=
(

2 2
1 1
arg
2 2
1 1
ln
2
1

unde partea real:
( )
e
e
j s
I U
I U
a
=

=
2 2
1 1
ln
2
1
(1.13.)
reprezint atenuarea pe imagini;
7
iar partea imaginar: ( )
e
e
j s
I U
I U
b
=
(

=
2 2
1 1
arg
2
1
(1.14.)
reprezint defazarea pe imagini. Dei (1.13.) i (1.14.) sunt valabile doar atunci cnd
s=je , n continuare, aceasta nu se va mai scrie explicit n formule.
Se demonstreaz c pentru un diport n X simetric (Fig. 1.5. b) sunt adevrate
relaiile [8]:

b a c
Z Z Z =
b
a
Z
Z g
th q = =
2
(1.15.)
unde Z
a
i Z
b
sunt impedanele serie i respectiv paralel ale diportului n X iar q o rotaie
a tangentei hiperbolice a exponentului de transfer pe jumtate.

Fig. 1.5.

Metoda de proiectare a filtrelor pe baza parametrilor imagine folosete
urmtoarele ipoteze:
- filtrul este un diport LC ideal; efectele pierderilor sunt luate n considerare ulterior;
- filtrul este definit prin parametrii imagine Z
01
, Z
02
i g i lucreaz cu terminaii rezistive
R
g
i R
s
(Fig. 1.6. a); n banda de trecere, atenuarea trebuie s fie nul a(e )=0 i s existe
adaptarea Z
01
=R
g
i Z
02
=R
s
, iar n banda de blocare, atenuarea trebuie s fie infinit
a(e )=;
- filtrul este format dintr-un lan adaptat de n dipori LC elementari (Fig. 1.6 b); adaptarea
cu R
g
i R
s
este impus diporilor terminali; atenuarea imagine a filtrului este suma
atenurilor fiecrui diport:
( ) ( )

=
=
n
i
i
a a
1
e e


Fig. 1.6.

8
Cei n dipori din Fig. 1.6 b se numesc celule elementare ale filtrului i trebuie s
aib o schem ct mai simpl. Studiul filtrelor se poate reduce astfel la studiul celulelor
elementare considerate pentru simplificare ca fiind simetrice.
Deoarece schema n X este cea mai general schem de diport simetric (conform
teoremei biseciunii demonstrat n [8], orice diport simetric poate fi echivalat cu o
schem n X simetric), este raional s se analizeze o celul elementar n X.
Considernd filtrul ca fiind un diport LC ideal, rezult c impedanele celulei n X
simetrice sunt de forma:
( ) ( ) e e
a a
jX j Z = ; ( ) ( ) e e
b b
jX j Z = .
n banda de trecere, impedana caracteristic Z
c
trebuie s fie real pentru a realiza
adaptarea cu o sarcin rezistiv, iar q s fie pur imaginar pentru ca atenuarea s fie nul.
ntr-adevr, din 1.15. rezult:

|
|
.
|

\
|

+
=
q
q
g
1
1
ln (1.16.)
i pentru q j q = din (1.16.) se obine:
( ) { } 0 1 ln
1
1
ln
1
1
ln Re = =

+
=

+
= =
q j
q j
q j
q j
g a e (1.17.)
( ) { } ( ) ( ) q arctg q j q j
q j
q j
g b 2 1 arg 1 arg
1
1
arg Im = + =
|
|
.
|

\
|

+
= = e (1.18.)
innd seama de condiiile impuse pentru Z
c
i q n banda de trecere, din (1.15.)
rezult c n acest caz trebuie ca:
( ) { } ( ) { } e e j Z sign j Z sign
b a
= .
n banda de blocare, q trebuie s fie real pentru ca atenuarea a(e ) s fie diferit de 0 i
deci: ( ) { } ( ) { } e e j Z sign j Z sign
b a
= , de unde rezult c n acest caz, impedana
caracteristic Z
c
va fi imaginar.
Pentru g=1 din (1.16.) se obine ( ) = e a iar defazarea circuitului n banda de
blocare prezint un salt de radiani cci:
( )

>
<
=
|
|
.
|

\
|

+
=
1 , 1 ,
2
1 , 1 ,
2
1
1
arg
q dacaq
q dacaq
g
g
b
t
t
e (1.19.)
Consideraiile de mai sus sunt redate grafic n Figura 1.7. a, n cazul unui FTJ, iar
n Fig. 1.7 c sunt reprezentate cele mai simple celule FT n X ce rspund prescripiilor din
Fig. 1.7 a mpreun cu diagramele pz corespunztoare.
Considernd celula elementar din Fig. 1.7 b, se determin impedanele serie i
respectiv paralel

a a
L j Z e = ;
( )
e
e e
e
e
2 2
1
t b
b
b b
jL
C j
L j Z

= + = ,
b b
t
C L
=
1
e
i folosind (1.15.) rezult:

2 2
e e =
t b a c
L L Z ,
2 2
2
e e
e

=
t
b
a
j
L
L q
th (1.20.)

9

Fig. 1.7

Pentru simplificarea relaiilor de calcul i pentru a obine modele de studiu mai
comode, n teoria filtrelor se utilizeaz normarea impedanelor i a frecvenelor.
Normarea impedanelor const n raportarea acestora la o constant R
0
numit rezisten
de normare. Impedanele normate se noteaz cu litere mici corespunztoare celor
nenormate notate cu majuscule astfel: ( )
( )
0
R
j Z
j Z
e
e = .
Normarea frecvenelor const n raportarea frecvenei curente complexe s sau a
frecvenei fizice la o frecven fizic de referin
0
astfel:
0
e
s
s
n
= ;
0
e
e
e =
n

Dac se normeaz impedanele i frecvenele, atunci valorile elementelor de
circuit trebuie modificate n mod corespunztor, nlocuindu-le cu valori normate.
De exemplu, inductana normal l se obine din relaia:
l j
R
L
j
R
L
j
R
L j
R
Z
Z
n n
L
l
e
e
e
e
e
e e
= = = = =
0
0
0
0
0 0 0

Procednd similar n cazul rezistenei i capacitii, rezult:
0
R
R
r = ;
0
0
R
L
l
e
= ;
0 0
CR c e = (1.21.)
Dac se normeaz frecvena n raport cu frecvena de tiere:

b b
t
C L
=
1
e (1.22.)
i impedanele n raport cu valoarea impedanei caracteristice n curent continuu:
( )
b
a
b a t c
C
L
L L Z R = = = e 0
0
(1.23.)
relaiile din (1.20.) devin:
10

2
1
n c
Z e = (1.24.)

2
1
2
n
n
jm q
th q
e
e

= = (1.25.)
unde parametrul m de numete modulul filtrului i este dat de:

b
a
b a t
L
L
C L m = = e (1.26.)
Plecnd de la (1.21.) i folosind relaiile (1.22.), (1.23.) i (1.26.) se obin valorile
elementelor de circuit normate:
m l
a
= ;
m
l
b
1
= ; m C
b
=
Din (1.24.) rezult:

>
s
=
1 , 1
1 , 1
2
2
n n
n n
c
daca j
daca
Z
e e
e e
(1.27.)
deci impedana caracteristic este rezistiv n banda de trecere i are caracter inductiv n
banda de blocare (Fig. 1.8. a). Notnd cu
0
R
R
r
s
s
= rezistena de sarcin normat din Fig.
1.8. a, se observ c n banda de trecere adaptarea se poate realiza doar dac R
s
<R
0
i
numai la o frecven
a
n
e . Din (1.25.) rezult:
( )
( )

>

=
. 29 . 1 1 ,
1
. 28 . 1 1 ,
1
2
2
n
n
n
n
n
n
daca
m
daca
jm
q
e
e
e
e
e
e

i conform (1.17.) atenuarea este nul n banda de trecere, deoarece aa cum rezult din
(1.28), n acest caz q este pur imaginar.

Fig. 1.8

Din (1.1.6) rezult: ( ) { }
g
g
g a

+
= =
1
1
ln Re e i nlocuind (1.29) n relaia de mai sus se
obine atenuarea n banda de blocare:
( )
n n
n n
m
m
a
e e
e e
e

+
=
1
1
ln
2
2
; 1 >
n
e (1.30.)
11
Atenuarea are n banda de blocare un pol care se obine din (1.30.) anulnd numitorul:
2
1
1
m
n

e ; 1 < m (1.31.)
Acest pol exist pentru m subunitar i este cu att mai apropiat de frecvena de tiere cu
ct m este mai mic.
La frecvene mari, atenuarea scade tinznd asimptotic ctre:

n
n
m
m
m
m
n n
n n
n
+
=

+
=

+

1
1
ln
1
1
ln
1
1
ln lim
2
2
e e
e e
e
, 1 < m (1.32.)
Din (1.31.) rezult c pentru m=1, polul se deplaseaz la infinit =

b
e i aa cum se
observ din Fig. 1.8. b, aceasta implic o atenuare redus la frecvenele inferioare din
banda de blocare i creterea acesteia la frecvene mari dar cu pant redus:
Pentru 1 > m , celula de filtrare are performane inferioare din punct de vedere al
atenurii n banda de blocare, iar la frecvene mari, aa cum rezult din (1.32.), aceasta
tinde asimptotic ctre:
1
1
ln
1
1
ln

+
=

+
m
m
m
m
, 1 > m .
Defazarea b() indus de celula de filtrare nu este important n cazul semnalelor
de audiofrecven sau radiofrecven, n schimb, pentru semnalele n impuls, este necesar
ca n banda de trecere, defazarea s fie o funcie liniar de frecven.
Conform (1.18.) n care q este dat de (1.28.), n banda de trecere defazarea este:
( )
2
1
2
n
n
m
actg b
e
e
e

= ; 1 s
n
e (1.33.)
n banda de blocare, aa cum rezult din (1.19.), defazarea prezint salturi de radiani la
frecvena corespunztoare polului atenurii atunci cnd m este subunitar.
Aa cum se poate observa i din Fig. 1.8. c, n cazul filtrrii semnalelor n impuls,
din punct de vedere al defazrii, cazul cel mai favorabil este cel pentru care 1 > m .
Consideraiile de mai sus se refer la celula FTJ din Fig. 1.7 b. n comparaie cu
aceasta, pentru celula din Fig.1.2 c, se demonstreaz c impedana caracteristic este
invers cu cea dat de (1.24.) iar q este identic cu cel dat de (1.25.), deci cele dou celule
sunt duale.
n Fig. 1.9 a sunt reprezentate schemele normate ale celor dou celule. Schema n
X a acestor dou celule este cea mai general dar nu este economic datorit numrului
mare de elemente componente. De aceea, n practic se folosesc celule echivalente n T i
respectiv n .

Fig. 1.9
12
n Fig. 1.9 b sunt reprezentate aceste celule echivalente pentru m=1 numite i celule FTJ
prototip.
n practic se folosesc i semicelule elementare de filtrare (Fig. 1.9 c), obinute
prin secionarea celulelor din Fig. 1.9 b i care introduc numai jumtate din atenuarea
acestora n banda de blocare.


1.3. Filtre Butterworth

Metoda de proiectare prezentat n paragraful anterior se bazeaz n esen pe
ideea conectrii n cascad a unui numr de celule elementare, fizic realizabile obinnd
n final un filtru realizabil fizic i care rspunde cerinelor de atenuare i defazare
impuse. O alt modalitate de abordare a problemei este aceea de aproximare a funciei de
transfer a F.T.J. ideal, dat de (1.8) printr-o funcie de transfer realizabil fizic, urmat de
sinteza circuitului corespunztor. n general funcia de circuit aproximat este descris
prin ptratul modulului ) ( e j H
2
i respectiv argumentul ) (e u . n cazul filtrului LC
acestea sunt de forma :
) ( e j H
2
=
0
2
1
2
0
2
1
2
....
....
a a a
b b b
n
n
m
m
+ + +
+ + +
e e
e e

u(e ) = arctg
0
3
1
1 2
0
3
1
1 2
....
....
c c c
d d d
k
k
j
j
+ + +
+ + +
+
+
e e
e e

Diferena dintre funcia de transfer ideal i funcia aproximat reprezint eroarea
de aproximare. Pentru F.T.J., plecnd de la (1.8) rezult eroarea relativ la modul :
c
H
(e )=

>
s
t
t
daca j H
daca j H
e e e
e e e
2
2
) ( 1
) ( 1
(1.34)
i eroarea relativ la faz :
) (e
c
u
=- ) (
0
e et u .
Erorile de aproximare i ordinul de complexitate al funciei aproximante
reprezint indicii de calitate ai procesului de aproximare, fiind de dorit ca acestea s fie
ct mai mici. Intervalul de aproximare reprezint mulimea punctelor de pe axa
frecvenelor fizice e pentru care se realizeaz aproximarea funciei de transfer ideale. n
cazul general acest interval coincide cu axa frecvenelor. Uneori acesta se reduce doar la
banda de trecere sau la cea de blocare. Funcie de repartiia erorii n intervalul de
aproximare exist mai multe criterii de aproximare. Criteriul aplatizrii maxime numit i
criteriul maximplat determin parametrii funciei aproximate prin dezvoltarea erorii
) (e c
H
n serie Taylor n jurul unui punct
0
e .
Prin anularea primelor derivate ale funciei eroare se obin ecuaiile necesare
pentru determinarea parametrilor funciei aproximante. n acest mod eroarea este nul n
punctul
0
e e = i crete spre capetele intervalului (Fig. 1.10 a). Acest criteriu este
convenabil pentru benzi nguste de frecven.
Criteriul Cebev numit i criteriul mini-max permite aproximarea funciei de
transfer ideale cu o eroare ) (e c
H
ce nu depete o limit de o pe ntreg intervalul de
aproximare. Extremele erorii sunt egale i alternante ca semn (Fig. 1.10 b).
Un astfel de criteriu este convenabil pentru intervale mari de aproximare (benzi de
frecven largi).
13

Pentru F.T.J. funcia aproximant de tip Butterworth, obinut pentru 0
0
= e este
dat de relaia :

n
j H
2
2
1
1
) (
e
e
+
= (1.35)
nlocuind (1.35) n (1.34) se obine eroarea de aproximare :

>
+
s
+
=
1
1
1
1
1
) (
2
2
2
e
e
e
e
e
e c
daca
daca
n
n
n
(1.36)
n (1.35), (1.36) precum i n relaiile deduse din acestea s-au omis, pentru a nu
aprea confuzii, indicele inferior n ce specific frecvena normat fa de
t
e .
n Fig.1.11 sunt reprezentate grafic caracteristica tip Butterworth i respectiv
eroarea pentru frecvenele pozitive ale axei frecvenelor. Indiferent de ordinul n al
filtrului se observ c eroarea este nul la frecvena 0 = e i maxim la frecvena de
tcere.
Dac gradul n al funciei aproximante (1.35) se mrete, caracteristica F.T.J. se
mbuntete.
Din (1.35) se poate obine atenuarea :
) 1 log( 10
) (
1
log 20 ) (
2n
j H
a e
e
e + = = | | dB (1.37)
n banda de blocare la frecvene mari (1.37) devine :
) log( 20 log 10 ) (
2
e e e n a
n
= = (1.38)
Dac se consider frecvenele
1
e i
2
e din banda de blocare situate la distana de
o octav ntre ele
1 2
2e e = din (1.38) rezult panta de cretere a caracteristicii de
atenuare :
n n n a a 6 2 log 20 ) log (log 20 ) ( ) (
1 2 1 2
~ = = e e e e dB/octav
14


Figura 1.11

Aproximarea de tip Butterworth nu permite realizarea cerinelor filtrului pentru
banda de trecere i banda de blocare n mod independent. Funcia aproximant (1.35) este
definit de un singur parametru n care se determin fie din condiiile impuse benzii de
trecere, fie din cele impuse benzii de blocare.
n Fig. 1.12 sunt reprezentate grafic cerinele impuse ptratului modulului funciei
de transfer i respectiv atenurii unui F.T.J. i care sunt date analitic de relaiile :

s s s s s
s s s s s s
e e e e
e e e e
b m M
p M m
daca a sia H j H
daca a a si j H H
) ( ) ( 0
0 ) ( 0 1 ) (
2
2

unde :
M
M
H
a
1
log 10 = ;
m
m
H
a
1
log 10 = .
Frecvenele
p
e i
b
e reprezint limitele benzilor de trecere, respectiv de blocare
iar intervalul
p b
e e este banda de tranziie.
Presupunnd gabaritul de atenuare reprezentat n Fig. 1.12 b ca fiind dat n dB
din (1.37) rezult:

(

+ =
n
t
p
M
a
2
) ( 1 log 10
e
e
;
(

+ =
M
t
bp
m
a
2
) ( 1 log 10
e
e
(1.39)
unde s-a inut cont c a
M
i a
m
corespund frecvenelor
p
e respectiv
b
e .


Figura 1.12
Din (1.39), explicitnd rapoartele
t p
e e / i
t b
e e / i mprind membru cu
membru relaiile astfel obinute rezult :

1 10
1 10
10 /
10 /

=
|
|
.
|

\
|
M
m
a
a
m
p
b
e
e
(1.40)
Introducnd notaiile : =
a
k
1 10
1 10
10 /
10 /

M
m
a
a
; =
f
k
p
b
e
e
(1.41)
relaia (1.40) devine : k
a
=(k
f
)
m
(1.42)
15
Fiind impuse deci extremele benzii de tranziie
p
e i
b
e i atenurile
corespunztoare a
M
i a
m
, cu (1.41) se calculeaz k
a
i k
f
, iar din (1.42) rezult ordinul
filtrului :
n
f
k log
log
a
k
> (1.43)
Se alege pentru n cea mai mic valoare ntreag ce satisface relaia de mai sus.
Frecvena teoretic de tiere rezult din oricare din relaiile (1.39) astfel
:
n a
b
n a
p
t
M M
2 / 1 10 / 2 / 1 10 /
) 1 10 ( ) 1 10 (
=

=
e
e
e (1.44)
Cunoscnd ordinul n al filtrului relaia (1.35) determin ptratul modulului
funciei de transfer
2
) ( e j H ce corespunde unui F.T.J. care respect gabaritul din Fig.
1.12.b i care n plus ester realizabil fizic. Plecnd de la
2
) ( e j H se pot obine schema
i valorile elementelor de circuit ale filtrului corespunztor prin metode riguroase
(algoritmice). O prim etap const n deducerea funciei de circuit ) (s H a filtrului
realizabil fizic plecnd de la
2
) ( e j H dup care printr-o metod de sintez ce nu
constituie obiectul cursului se obine filtrul propriu-zis.





1.4 Filtre Cebev

Aproximarea n sens Cebev const n determinarea funciei de transfer care s
admit o repartiie uniform de tip mini-max a erorii n intervalul de aproximare
(Fig.1.10 b).
Dac aproximarea se realizeaz :
- n banda de trecere se obin filtre de tip Cebev ;
- n banda de blocare se obin filtre de tip Cebev invers;
- att n banda de trecere ct i n cea de blocare se obin filtre de tip Cauer-Cebev
numite i filtre eliptice.
n continuare se vor studia F.T.J. de tip Cebev. n acest caz pentru a obine o distribuie
de tip mini-max a erorii funcia aproximant este :

2
) ( e j H =
) ( 1
1
2 2
e c
n
C +
(1.45)
unde ) (e
n
C este un polinom Cebev de ordinul n i unde pentru frecvena normat s-a
omis indicele inferior.
Polinoamele Cebev sunt polinoame raionale definite n domeniul frecven prin
relaia de recuren :
) ( ) ( 2 ) (
1 1
e e e e
+
=
n n n
C C C ; e = =
1 0
; 1 C C

16
Din relaia de mai sus rezult :

+ =
+ =
=
=
e e e
e e
e e
e
5 20 16
1 8 8
3 4
1 2
3 5
5
2 4
4
3
3
2
2
C
C
C
C
(1.46)

n Fig.1.13 sunt reprezentate grafic polinoamele Cebev de ordin 1,2 i 3 ,
respectiv ptratul acestora.

Fig. 1.13

Din Fig. 1.13 se observ c n intervalul de aproximare -1 s s e 1 polinoamele
Cebev aproximeaz cu o eroare mini-max de 1 valoarea 0 . Polinomul ) (e
n
C are n
zerouri n intervalul de aproximare i n+1 extreme alternnd ca semn. n afara
intervalului de aproximare panta de cretere (descretere) este cu att mai mare cu ct n e
mai mare. Se poate arta c nu exist alt polinom de grad n care s aproximeze zeroul in
intervalul[-1,1] cu o eroare mai mic dect 1 i s aib o pant de cretere sau scdere
mai mare n afara acestui interval . n acest sens polinoamele Cebev sunt optime. Pe
baza reprezentrii din Fig. 1.13.b a polinoamelor ) (
2
e
n
C se obin n Fig. 1.14 a graficele
funciilor aproximante date de (1.45) pentru n=2 i n=3.
n banda de trecere eroarea este:

) ( 1
) (
) ( 1 ) (
2 2
2 2
2
e c
e c
e e c
n
n
C
C
j H
+
= =
iar valoarea maxim a acestei erori se obine pentru max [ ) (
2
e
n
C ]=1 adic:
2
2
1 c
c
c
+
=
M
.
Funcia aproximant (Fig. 1.14 a) variaz ntre limitele:
17
H
max
=1 ; H
min
=1-
2
1
1
c
c
+
=
H

lund la 0 = e valorile H
max
sau H
min
dup cum n este par sau impar i valoarea H
min
la
1 = e .
innd seama de (1.45) atenuarea este :
| | ) ( 1 log 10 ) ( log 10 ) (
2 2
2
e c e e
n
C j H a + = = (1.47)
n banda de blocare ) (
2 2
e c
n
C 1 >> iar la frecvene foarte mari comportarea lui ) (
2
e
n
C
este n principal dat de termenul avnd rangul cel mai mare i care aa cum rezult din
(1.46) este de forma
n n
e
1
2
+

n aceste condiii (1.47) devine:
1 , log 20 ) 1 ( 6 log 20 2 log 20 ) ( log 20 ) (
1
>> + + = ~ ~

e e c e c e c e n n C a
n n
n
.
Dimensionarea funciei aproximante (1.45) , adic alegerea parametrilor c si n se
face plecnd de la gabaritul filtrului reprezentat n Fig. 1.14.b . n aceeai figur s-a
reprezentat grafic i caracteristica de atenuare a unui filtru Cebev de ordinul 3.


Fig. 1.14

Pentru filtrele tip Cebev se alege frecvena extrem a benzii de trecere egal cu
frecvena de tiere , adic 1 + =
t p
e e i deoarece 1 ) 1 (
2
=
n
C , din (1.47) rezult:
a
M
=10log(1+c )
de unde se obine prima relaie de dimensionare:
1 10
10 /
=
M
a
c (1.48)
Atenuarea minim n banda de blocare se obine la limita inferioar a acesteia :
) ( ) (
p
b
t
b
m
a a a
e
e
e
e
= = i innd seama de (1.47) rezult:
)] ( 1 log[ 10
2 2
p
b
n m
C a
e
e
c + = .
de unde explicitnd ptratul polinomului Cebev de ordin n se obine:

2
10 /
2
1 10
) (
c e
e
=
m
a
p
b
n
C .
18
Dac n relaia de mai sus se nlocuiete c dedus anterior prin (1.48) i se extrage
rdcina ptrat se obine:

1 10
1 10
) (
10 /
10 /

=
M
m
a
a
p
b
n
C
e
e
(1.49)
Deoarece la frecvene nalte comportarea lui ) (e
n
C este dictat de termenul
n n
e
1
1

rezult:
1 , ) ( 2 ) (
1
>> ~

e
e
e
e
e
n
p
b n
p
b
n
C
innd seama de aproximaia de mai sus i folosind notaiile (1.41) introduse n
paragraful anterior, (1.49) devine:

n
f a
k k ) 2 ( 2 ~
ceea conduce la a doua relaie de dimensionare:
n
f
a
k
k
2 log
2 log
> (1.50)
unde pentru n se alege cea mai mic valoare ntreag ce satisface relaia de mai sus.
n concluzie funcia (1.45) determin un F.T.J. de tip Cebev realizabil fizic i
care aproximeaz F.T.J. ideal cu o precizie impus prin gabaritul filtrului (Fig. 1.14 b).
n expresia funciei aproximante (1.45) intervin doi parametrii c i n , ceea ce
permite dimensionarea acesteia n mod independent prin cerinele impuse n banda de
trecere i respectiv n banda de blocare . ntr-adevr cu relaia (1.48) se determin c
plecnd de la atenuarea maxim
M
a admis n banda de trecere iar cu relaia (1.50) se
determin n funcie de atenuarea minim
m
a impus n banda de blocare.

1.5.Filtre obinute prin transformri de frecven

n paragrafele anterioare s-au analizat exclusiv filtre sau celule de filtrare de tip
trece jos . n sinteza circuitelor se demonstreaz c dac Z(s) i F(s) sunt reactane,
atunci i Z[F(s)] este o reactan.
Aceast teorem permite obinerea altor tipuri de filtre plecnd de la F.T.J. astfel:
- se alege o funcie F(s) tip reactan care transform axa ea F.T.J. n axa e
corespunztoare altor tipuri de filtre (F.T.S., F.T.B., F.O.B.);
- impedana Z(s) a F.T.J. se transform n impedana Z[F(s)] a tipului de filtru ales;
- schema i gabaritul filtrului transformat se obin direct din cele ale F.T.J.
Substituirea variabilei s normate a F.T.J. cu funcia F(s) de tip reactiv realizeaz o
transformare de frecven i de reactan n acelai timp. Trecerea de la F.T.J. la F.T.S.
poate fi obinut dac se utilizeaz transformarea s
F.T.J.
=F(s
F.T.J.
) dat de relaia:
F(s)=
s
1
; s
F.T.J.
=
. . .
1
S T F
s
(1.51)
unde s
F.T.J.
i s
F.T.S.
sunt frecvenele complexe normate corespunztoare celor dou filtre.
La frecvenele fizice s=je i explicitnd frecvena normat a F.T.S., (1.51)
devine:
e
F.T.S.
=-
. . .
1
J T F
e
(1.52)
19
Relaia de mai sus transform axa frecvenelor fizice F.T.J. n axa frecvenelor
fizice F.T.S. aa cum se observ n Fig. 1.15a (cu linie ngroat s-au reprezentat benzile
de trecere).
Pentru simplificare, n figur s-a redat grafic doar corespondena dintre semiaxa
negativ F.T.J. i semiaxa pozitiv F.T.S.
Schimbarea de variabil (1.51) modific reactanele F.T.J. Astfel, de exemplu, inductana
normat din schema F.T.J. devine capacitiv n schema F.T.S.:
Ze = s
F.T.J.
* =

1
*
1
*
1
. . .
. . .
S T F
S T F
s
s
= ; C
F.T.S.
=

1

Transformrile de reactan la trecerea F.T.J. F.T.S. sunt redate n Fig.1.15 b:



Fig.1.15
Deoarece funcia de atenuare (1.30) este par de e rezult c fiind dat gabaritul
unui F.T.S. se poate obine gabaritul F.T.J. de referin, ambele reprezentate pe ntreaga
ax a frecvenelor. n Fig.1.16 este artat corespondena gabaritelor respective:

Fig.1.16

Trecerea de la F.T.J. la F.T.B. poate fi obinut dac se folosete transformarea
s
F.T.J.
=F(s
F.T.B
) dat de relaia:
F(s) =
s
s
o
1
2
+
; s
F.T.J.
=
. . .
2
. . .
1
B T F
B T F
s
s
o
+
(1.53)
20
unde o este o constant real i pozitiv.
Corespondena ntre axa frecvenelor fizice e
F.T.J.
i axa e
F.T.B.
se obine din (1.53)
pentru s=je:
e
F.T.J.
=
. . .
2
. . .
1
B T F
B T F
oe
e
(1.54)
Dac n (1.54) se nlocuiesc frecvenele de tiere normate e
F.T.S.
= 1 ale F.T.J se
obine ecuaia:
e
2
0 1 = oe (1.55)
unde pentru simplificare s-a suprimat indicele inferior, iar e aparine axei F.T.B.
Soluiile ecuaiei (1.55) reprezint frecvenele de tiere normate ale F.T.B.:

\
|
|
.
|
+ =
2
1
4
2
1
o o
et ; =
2
t e
|
|
.
|

\
|
+ +
2
1
4
2
o o
(1.56)

Transformarea de frecven (1.54) nu este biunivoc deoarece datorit termenului
la puterea a doua pe care l conine, unei frecvene F.T.J. i corespund dou frecvene
F.T.B. ntr-adevr celor dou frecvene de tiere e
F.T.J.
= 1 ale F.T.J. le corespund cele
patru frecvene de tiere (1.56) dispuse simetric fa de origine ale F.T.B. De asemenea,
dac n (1.54) se nlocuiete e
F.T.J.
= 0 rezult originea axei e
F.T.J.
devine e
F.T.B.
= 1 . n
Fig.1.17 a este reprezentat transformarea de frecven F.T.J. F.T.B unde pentru
simplificare s-a redat doar corespondena dintre axa F.T.J. i semiaxa pozitiv F.T.B. Din
(1.56) rezult c diferena dintre frecvenele de tiere normate ale F.T.B. este:

et
2
-et
1
=o (1.57)

deci parametrul o din transformarea (1.53) reprezint banda de trecere normat a F.T.B.
Aa cum se poate4 observa i din Fig. 1.17 a benzile de trecere ale F.T.B. sunt simetrice
fa de frecvena e
F.T.B.
= 1 . Aceasta rezult din faptul c (1.53) nu se modific prin
nlocuirea lui s cu 1/s. Rezult c dou frecvene complexe ale F.T.B. al cror produs este
unitar, provin din aceeai frecven a F.T.J. De exemplu frecvenele de tiere ale F.T.B.:
(-et
1
)*(et
2
) = 1
provin din frecvena pozitiv de tiere e
F.T.J.
=1 a F.T.J. Rezult deci c pentru F.T.B.
frecvena de normare e
0
depinde de frecvenele sale de tiere nenormate :
e
0
2
= et
1
*et
2
/nenormate.
Schimbarea de variabil (1.53) modific reactanele F.T.J. De exemplu inductana
normat a unui F.T.J. se transform ntr-un circuit LC serie n schema F.T.B. ntr-
adevr impedana echivalent a unui uniport LC serie este:
s
L
+
sC
LC s
sC
1 1
2
+
=
i folosind relaia (1.53) rezult:
Ze=s
F.T.J.
*
. . .
2
. . .
. . .
2
. . .
1 *
*
*
1
B T F
B T F
B T F
B T F
s
s
s
s


o
o
o
o
+
=
+
= ;
o

=
. . . B T F
; c
F.T.B.
=

o

Transformrile de reactan la trecerea F.T.J. F.T.B. sunt redate n Fig. 1.17 b.
21


Fig.1.17

Corespondena ntre F.T.J. i F.T.S. ilustrat n Fig. 1.15 a ne sugereaz faptul c
acestea sunt complementare. Deoarece F.O.B. este i el complementar cu F.T.B. rezult
c F.O.B. poate fi obinut din F.T.S. cu o relaie de tipul (1.53), astfel:
F(s) =
s
s
*
1
2
o
+
; s
F.T.S.
=
. . .
2
. . .
1
B O F
B O F
s
s
-
+
o
(1.58)
Corespondena axelor frecvenelor fizice pentru F.T.S. i F.O.B. precum i
transformrile de reactan corespunztoare trecerii F.T.S. F.O.B. sunt redate n Fig.
1.18.



Fig.1.18

Expresiile frecvenelor de tiere (1.56) i relaiile stabilite la F.T.B. rmn
valabile cu specificaia c pentru F.O.B. parametrul o specificat de (1.57) reprezint
banda de blocare normat.
Prin urmare, calculul F.T.S., F.T.B. i F.O.B. rezultate prin transformri de
frecven cuprinde ca prim etap obinerea F.T.J. de referin. Acestea se proiecteaz
conform metodelor ilustrate n paragraful anterior. n continuare schema normat a F.T.J.
se transform n schema normat a filtrului dorit folosind transformrile de reactan
corespunztoare. n final prin denormare se obin valorile reale ale elementelor de circuit
corespunztoare filtrului dorit.



22
















































23

2. Amplificatoare

2.1 Generaliti

Cea mai important i din aceast cauz cea mai utilizat funcie a dispozitivelor
electronice este cea de amplificare: un semnal de comand, de mic putere este utilizat
pentru a obine la ieire un semnal de aceeai form avnd puterea mult mai mare. Exist
o mare varietate de amplificatoare care difer prin natura semnalului, dispozitivului
utilizat, cuplajului ntre etaje, clasa de funcionare, banda de frecvene, etc.
Ne vom ocupa n cele ce urmeaz de amplificatoare de tensiune de semnal mic
sau liniare, la care impedana de sarcin sau reelele de cuplaj nu conin circuite selective:
amplificatoare de audiofrecven i o larg categorie de amplificatoare utilizate in diferite
domenii de cercetare tiinific, aparatur de msur i control, etc.
n aceeai categorie de amplificatoare de semnal mic, pot fi incluse i
amplificatoarele de band larg fr circuite de corecie.
n Fig.2.1 este reprezentat un amplificator pentru care x
1
(t) este semnal de
intrare iar x
2
(t) este semnal de ieire. x
1
(t) i x
2
(t) pot fi mod independent unul de
cellalt sau cureni.

Fig.2.1

Este necesar ca semnalul de ieire s aib aceeai form ca i semnalul de intrare,
eventual o anumit ntrziere:
X
2
(t) =Ax
1
(t-
0
) (2.1)
Amplificatoarele au drept caracteristic faptul c semnalul de la ieire are o putere
mai mare dect cel de la intrare. Amplificarea n putere poate fi realizat cu ajutorul
tuburilor electronice, al tranzistoarelor sau al diodelor cu rezisten negativ. De
exemplu, tranzistorul joac rolul unui ventil care comand, n ritmul semnalului aplicat,
puterea debitat n sarcin de o surs de tensiune continu.
Pentru a sigura proporionalitatea semnalului de ieire cu semnalul de intrare,
conform relaiei (2.1) este necesar ca amplificatorul s funcioneze liniar. Se consider c
dispozitivele electronice funcioneaz liniar n condiii de semnal mic.
Pentru orice fel de etaj din amplificatoare cu tranzistor bipolar, tranzistor cu efect
de cmp sau tub electronic funcionnd liniar n regim sinusoidal, se pot defini trei
coeficieni de amplificare: de tensiune
A
u
, de curent A
i
si de putere A
P
, dup cum urmeaz:
u
1
2
A
U
= =
U
A
u
jQ
e

j
i i
e A
I
I
A = =
1
2
(2.2)
24


1 1 1
2 2 2
1
2
cos
cos
u
u
I U
I U
P
P
A
p
= = ;

unde: U
2
si I
1
sunt tensiunea si curentul de intrare (fazori, mrimi complexe), U
2
si I
2
sunt
tensiunea si curentul de ieire, u
1
este defazajul dintre U
1
si I
1
iar
2
u este defazajul
dintre U
2
si I
2
.
Pentru caracterizarea unui amplificator ce conine n etaje de amplificare se
definesc coeficieni globali ; A ; A ; A
p i u
T T T

; ..... .....
) ... (
2 1 2 1
2 1 n
u
j
n n T
e Au Au Au u A u A u A A
| | | + + +
= =
; ..... .....
) ... (
2 1 2 1
2 1 n
i
j
n n T
e Ai Ai Ai i A i A i A A
+ + +
= = (2.3)
;
cos
cos
.....
1
1
2 1
u
u
+
= =
n
T T n T
i u p
A A p A p A p A A
unde
1 n+
u este defazajul dintre
1 n
U
+
si
1 n
I
+
.


Fig.2.2

De exemplu pentru amplificarea n tensiune, n cazul unui amplificator cu trei
etaje (Fig.2.2) vom avea:
.
1 2 3
1
2
2
3
3
4
1
4
u A u A u A
U
U
U
U
U
U
U
U
A
u
T
= = = (2.4)
Pentru exprimarea rapoartelor a diferite mrimi se utilizeaz notaia n decibeli
(dB). Logaritmul zecimal al raportului P
2
/P
1
poart numele de Bell.
1
2
1
2
log
P
P
P
P
B
=
|
|
.
|

\
|
(2.5)
Belul este o unitate relativ mare i de aceea n electronic, telecomunicaii i
acustic se folosete o unitate de zece ori mai mic (decibell);
1
2
1
2
log 10
P
P
P
P
dB
=
|
|
.
|

\
|
(2.6)
Puterea la ieire i la intrare poate fi exprimat n felul urmtor:
1
int
2
1
1
cos
Z
u
U
P =
2
ie
2
2
2
cos
Z
u
U
P = (2.7)
nlocuind relaiile (2.7) n relaiile (2.6) i presupund c impedanele au caracter
rezistiv( ) 1 cos cos
2 1
= = u u se obine:
25
ies
dB
R
R
U
U
P
P
int
1
2
1
2
log 10 log 20 + =
|
|
.
|

\
|
(2.8)
i analog:
s
int
1
2
1
2
log 10 log 20
ie
dB
R
R
I
I
P
P
+ =
|
|
.
|

\
|
(2.9)
Pentru cazul particular R
int
=R
ies
rezult:
1
2
1
2
dB
1
2
I
I
log 20
U
U
log 20
P
P
= =
|
|
.
|

\
|
(2.10)
In practica rapoartele de tensiuni i cureni se exprim n db chiar i atunci cnd
R
int
= R
ies
, dar n acest caz nu mai este valabil egalitatea (2.10).
Pe baza consideraiilor anterioare, se definete ctigul de putere n tensiune i n
curent:
( )
p P
A log 10 dB G =
( )
u u
A log 20 dB G = (2.11)
( )
I 0 I
A log 20 dB G =
Astfel un ctig n tensiunea de 60dB corespunde unei amplificri n tensiunea
A
v
=1000.
Relaia (2.4) se poate scrie:
( ) ( ) ( ) ( ) dB G dB G dB G dB G
3 u 2 u 1 u Tu
+ + = (2.12)
Exist referine standard (valori pentru P
1
) utilizate pentru exprimarea puterii in
dB, de exemplu:
- 1nW/600 i corespunde o tensiune de 0,77 V;
- 1nW fr specifica valoarea impedanei; se noteaz dBn;
- 6nW/500 i corespunde o tensiune de 1,732V.
De exemplu, presupunnd ultima variant de referin, vom exprima puterea att
n dB ct i n W:
( )
( )
( )
( )
W nW nW W P
nV
W P
dB dB P
dB P
5 , 1 10 6 10 6
6
log 10 24
4 , 2
10
= = = = =
In cazul amplificatorului real are loc schimbarea formei semnalului de ieire,
numita distorsiune. Aceasta modificare a formei semnalului are drept semnificaie fizica
schimbarea compoziiei spectrale a semnalului.
Distorsiunile pot fi neliniare sau liniare.
Distorsiunile neliniare apar din cauza neliniaritii caracteristicilor dispozitivului
utilizat n amplificator. Din cauza acestei neliniariti semnalul de ieire conine armonici
suplimentare fa de cele coninute de semnalul de intrare se schimba forma semnalului.
Acest tip de distorsiuni este caracterizat prin coeficientul de distorsiuni neliniare
definit prin relaia:
1
3 2
...
P
P P P
K
n
+ + +
= (2.13)
unde p
1
este puterea corespunztoare frecventei fundamentale; p
2
;p
3
,p
n
sunt
puterile armonicelor superioare.
Daca impedana de sarcin nu se modific cu frecventa se poate scrie:
26
1
2 2
3
2
2
1
2 2
3
2
2
...
;
...
I
I I I
K
U
U U U
K
n n
+ + +
=
+ + +
= (2.14)
Valorile maxime admise pentru coeficientul de distorsiuni neliniare:
% 05 , 0 01 , 0 = K n aparatura de msur si control;
% 5 1 = K n radiocomunicaii, radiodifuziune si TV;
% 15 5 = K n telefonie .
Semnalele amplificate pot fi afectate de distorsiuni liniare, chiar dac
amplificatorul lucreaz la semnale mici.
Semnalul sinusoidal este singurul semnal nedistorsionat de ctre un circuit liniar
cu o funcie de transfer K(je ), unde:
K(je )=K(e )e
j(w)
In cazul unui semnal periodic nesinusoidal, componentele Fourier ale acestuia vor
fi amplificate si defazate in mod diferit, producnd modificarea formei semnalului de
ieire, adic apariia distorsiunilor liniare.
Se demonstreaz un rspuns de forma (2.1) se obine numai dac sunt ndeplinite
condiiile:
K(e )= constant, independent de e ;
d/de =constant, independent de e .
Aa cum se arata n cap.1.Filtrele electrice (1.1.Generalitati) variaia fazei cu
frecventa trebuie sa fie o funcie liniar (relaia 1.4.) pentru ca timpul de ntrziere de
grup d/de sa fie o constant independent de e .
Dac aceste condiii sunt satisfcute apar urmtoarele dou categorii de
distorsiuni liniare:
- distorsiuni de amplitudine, datorate amplificrii inegale a componentelor de
frecvente diferite aloe unui semnal (de exemplu armonicele unui semnal periodic
nesinusoidal);
- distorsiuni de faz sau de ntrziere datorate modificrii relaiei de faz ntre
componentele de frecvente diferite ale semnalului.
In Fig.2.3 este reprezentata caracteristica amplitudine frecven a unui
amplificator. Se definete o amplificare in bandasau la frecvente medii
0
Au u A = corespunztoare platoului din figur. Se admite o scdere a modulului
amplificrii la fraciunea a<1 din amplificarea:



Fig.2.3
Au
0
,

unde de obicei 707 , 0
2
1
= = a .
27
Condiia
0
aAu u A > definete frecvena limita inferioara f
i
, frecvena limit
superioara f
s
i banda de lucru a amplificatorului:
i s
f f B B = =
2
(2.17)
De regula f
s
>>f
i
si atunci
s
f B ~
2
.
De obicei frecvenele limit f
i
si f
s
sunt frecvene pentru care amplificarea scade de
2 ori fata de Au
0
. Utiliznd unitile logaritmice aceasta scdere este egala cu 3 dB
(puterea semnalului la frecvenele f
i
si f
s
scade de 2 ori ).Variaia amplificrii cu 3dB n
limitele benzii de lucru este acceptabil pentru aparatura de audio frecvena, dar n
domeniul aparaturii de msura i control aceasta variaie numit neuniformitate in band,
nu poate depi ( ) dB 1 5 , 0 .
Se definete gama dinamic a unui amplificator:
min
max
log 20
2
2
U
U
DdB = (2.18)
U
2max
si U
2min
fiind semnalul maxim respectiv minim nedistorsionat la ieirea
amplificatorului. U
2max
este limitat de distorsiunile liniare iar U
2min
de zgomotele proprii
(interne) ale circuitului (componentelor) i de semnale parazite culese la intrarea
amplificatorului. Pentru un amplificator de calitate
( ) 1778 100 min max/ 65 60
2 2
= = U U dB DdB .
Cuplarea etajelor ntre ele, cu sursa de semnal sau cu sarcina se poate face direct
prin condensator sau prin transformator.
Cuplajul direct este singurul care poate fi utilizat n cazul amplificatoarelor de
curent continuu.
Are dezavantajul interdependentei punctelor statice de funcionare care face
proiectarea mai dificil. Acest dezavantaj poate fi nlturat cu ajutorul unei reacii de
curent continuu. Acest tip de cuplaj se utilizeaz aproape n exclusivitate n circuitele
integrate, unde nu pot fi folosite condensatoare.


Fig.2.4

Cuplajul prin condensator sau cuplajul RC (Fig.2.4) mpiedic trecerea
componentei continue asigurnd astfel separarea in curent continuu i atenueaz
frecventele joase, deoarece condensatorul de cuplaj formeaz cu rezistena de intrare n
etajul urmtor (R) un circuit RC care are comportarea unui filtru trece sus. Existenta
unor astfel de condensatoare de cuplaj ntre etaje, este una din cauzele care determina
scderea amplificrii la frecvene joase.
Cuplajul prin transformator asigura concomitent cu izolarea n curent continuu, o
eventual amplificare n tensiune sau adaptarea la rezistena de sarcin. Se utilizeaz mai
ales n amplificatoare de putere i n cele de radiofrecven.
28
Clasificarea regimurilor de funcionare ale unui dispozitiv activ se face pe
criteriul intervalului de conducie. Daca se consider curentul prin dispozitiv ca o funcie
i=(wt), atunci intervalul t e 2 = t corespunde unei perioade a semnalului aplicat. Se
noteaz cu u 2 unghiul de conducie, corespunztor intervalului de timp n care curentul
prin dispozitiv este diferit de zero .
Pentru exemplificare vom alege tranzistorul bipolar i considernd ca acesta este
comandant n curent, vom utiliza caracteristica de transfer
B F c
i i | ~ , care este liniar,
plecnd aproximativ din origine i fiind limitat de un curent de saturaie caracteristic
etajului respectiv.


Fig.2.5

Figura 2.5, ilustreaz funcionarea n clasa A. Punctul static de funcionare al
tranzistorului se afl poziionat n regiunea normal de lucru (punctul M) iar amplitudinea
semnalului de intrare este redus, astfel nct n regim dinamic punctul de funcionare s
nu ajung n regiunea de blocare sau de saturaie. Dispozitivul conduce pe toat durata
perioadei semnalului de intrare i deci t u 2 2 = . Semnalul de ieire reproduce n
ntregime semnalul de intrare i distorsiunile semnalului de ieire vor fi determinate de
neliniaritatea caracteristicii de transfer. In locul unghiului de conducie se utilizeaz
uneori semiunghiul de conducie t u = . Deoarece n regim static exist un curent
apreciabil prin dispozitiv n cazul ideal (nu exista nici un fel de pierderi de energie n
circuitul de colector al tranzistorului) jumtate din puterea pe care amplificatorul o preia
de la sursa de alimentare va fi regsit n sarcina ca putere util, cealalt fiind disipat de
tranzistor sub forma de energie termic i randamentul maxim va fi 50%.
In Figura 2.5.b este ilustrat funcionarea n clasa B tranzistorului. Punctul static
de funcionare (M) se stabileste in regiunea de blocare a tranzistorului, la limita blocare-
conductie, astfel ca acesta va anplifica numai o alternativ a semnalului de intrare unghiul
de conductie fiind ( ) 2 / 2 t u t u = = . Daca t u
t
< <
2
tranzistorul funcioneaz n clasa
AB.
29
Pentru functionarea n clasa C (Fig.2.6), punctul static de funcionare este
poziionat undeva n regiunea de taiere a tranzistorului, astfel nct s fie amplificat
numai o poriune din semialternana semnalului aplicat la intrare. Se lucreaz cu un unghi
de conducie ( ) 2 / 2 t u t u < < .


Fig.2.6

In clasele B, AB si C curentul de colector este sub forma unor impulsuri de
curent, astfel ca semnalul amplificat este puternic distorsionat.
Pentru amplificatoarele funcionnd n cele trei clase se utilizeaz tehnici speciale
de circuit pentru refacerea formei semnalului n sarcin.
Deoarece randamentul obinut in clasele B,AB si C este mai mare dect n clasa
A, aceste clase sunt utilizate pentru amplificatoare de putere i de mare putere, de joasa
i de nalt frecven.
Pentru un circuit dat (cu o caracteristic dinamic de transfer dat) clasa de
funcionare depinde att de alegerea punctului static de funcionare ct i de amplitudinea
semnalului de intrare. De exemplu dac punctul de funcionare este ales n regiunea
normal de lucru (pentru clasa A de funcionare) i semnalul crete n amplitudine, se
poate trece din funcionare n clasa A n clasa AB etc.
Creterea semnalului n scopul mririi puterii sale i pentru a obine un randament
mrit al amplificatorului, este din pcate nsoit de distorsionarea acestuia din cauza
neliniaritii caracteristicilor dispozitivului activ utilizat i ca urmare a trecerii dintre
clasa de funcionare nalt.
Criterii de clasificare a amplificatoarelor:
1) tipul de dispozitiv electronic cu care este echipat amplificatorul:
- cu tuburi electronice;
- cu tranzistoare bipolare;
- cu tranzistoare cu efect de cmp;
- cu diode tunel;
- cu diode Gunn (si alte dispozitive speciale pentru microunde);
- cu elemente magnetice.
2) numrul de etaje:
- un etaj;
30
- mai multe etaje.
3) tipul reelei de cuplaj intre etaje:
- cuplaj rezistiv sau direct;
- cuplaj RC sau capacitiv;
- prin transformator sau inducie.
4) natura semnalelor de intrare sau ieire:
- amplificator de tensiune; semnalul de intrare este furnizat de un generator ideal
de tensiune avnd Z
g
<<Z
int
, iar amplificatorul se comport fa de sarcin de
asemenea ca un generator ideal de curent cu Z
ies
>>s. Aceste amplificatoare sunt
de obicei echipate cu tranzistoare bipolare comandate in curent;
- amplificator de putere are loc amplificare att n tensiune ct i n curent; se
urmrete obinerea unei puteri utile de ieire ct mai mare pentru alimentarea
unei anumite sarcini, difuzoare, antena, instalaie de reglare industriala, etc.
In cazul amplificatoarelor reale de tensiune exist i o amplificare n
curent, deci acestea amplific i n putere. Similar, amplificatoarele reale de
curent prezint i o amplificare n tensiune, deci amplifica i n putere.
5) mrimea semnalului de ieire:
- amplificatoare de semnal mic pentru analiza i proiectare se utilizeaz modelul
liniar al caracteristicilor dispozitivelor electronice;
- amplificatoare de semnal mare se utilizeaz modelul liniarizat pe poriuni ;
6) clasa de funcionare : A ; B ; AB ; C ; D ; etc. .
7) gama de frecventa (sau aspectul caracteristicii de frecventa):
- amplificatoare a perioadei (neacordate) ce pot fi:
- de audiofrecvena, avnd banda de lucru cuprins ntre civa Hz (de
regula 20 Hz) si 20 KHz; distorsiunile de faz sunt neimportante (nu sunt sesizate
de urechea omului) sunt de obicei constituite din dou blocuri: un preamplificator
(amplificator de semnal mic) i un amplificator de putere (care lucreaz n regim
de semnal mare);
- de video frecven sau de band larg, sau de impulsuri care au banda de
lucru cuprins ntre civa Hz i zeci de MHz; sunt utilizate n televiziune si
radiolocaie; distorsiunile de faza prezint importanta i trebuie sa fie ct mai
reduse;
- de curent continuu (cu cuplaj rezistiv), care amplific semnalele lent
variabile n timp (de frecven infrasonor);
- amplificatoare selective (acordate) care funcioneaz ntr-o gam ngust de
frecven i sunt de dou tipuri:
- de radiofrecven (RF) cu circuite codate LC;
- de joasa frecven (JF) cu circuite selective de tip RC.
In cazul amplificatoarelor de putere se urmrete obinerea unei puteri utile la
ieire ct mai mari, n condiiile unui randament ridicat.
Puterea maxima este limitat de coeficientul de distorsiuni neliniare (cu ct
amplitudinea semnalului este mai mare, cu att neliniaritatea caracteristicilor statice e mai
pronunata si K
f
are valori mai mari) i de putere disipat maxim admis pentru un
anumit tip de dispozitiv.
Randamentul, definit ca fiind raportul dintre puterea util n sarcin i puterea
consumat de la sursa de alimentare, poate avea urmtoarele valori:
- q=15%-20% - amplificatoare de putere mic (clasa A de funcionare);
- q=20%50% - amplificatoare de putere medie (clasa B si AB de funcionare) ;
31
- q=50%75% - amplificatoare de putere mare (clasa C de funcionare) ;
- q>75% - amplificatoare de putere medie i mare n clase de funcionare cu
randament ridicat (de exemplu clasa D de funcionare, n regim de amplificare n
impulsuri).


2.2. Etaj de amplificare tranzistor bipol n conexiune emitor comun

In continuare vom avea n vedere urmtoarele considerente:
- etajele cu tranzistoare bipolare funcioneaz n curent alternativ, la semnale
mici;
- pentru a putea utiliza parametrii hibrizi ai tranzistorului se va considera iniial ca
frecvena este suficient de joas pentru a se putea neglija efectul capacitii tranzistorului;
- studiul comportrii la frecvene nalte se va reduce la estimarea aproximativ a
limitrii la frecvena pe care o introduc capacitile tranzistorului asupra funcionarii
etajului.
Pentru a putea descrie comportarea n regim dinamic a etajului de amplificare, ca
i interaciunile acestuia cu sursa de semnal (etajul anterior) i cu sarcina (etajul urmtor)
se urmrete punerea n eviden a tipului de amplificator (de tensiune, de curent, etc)
care modeleaz cel mai bine etajul considerat. Pentru aceasta se vor calcula impedanele
de intrare si de ieire, ca i amplificarea etajului, urmrind obinerea unor relaii ntre
parametrii tranzitoriului i elementele circuitului extern, relaii care vor fi utilizate i
pentru calculul (proiectarea) etajului de amplificare respectiv. innd cont de
consideraiile referitoare la frecven de lucru, vom admite ntr-o prim etap a calculului
c att impedana de intrare ct i impedana de ieire au caracter pur rezistiv. De
asemenea vom presupune ca sarcina este o rezistent pur.


Fig.2.7

n Fig.2.7 este reprezentat configuraia unui etaj de amplificare de tip EC cu
cuplaj RC. Condensatoarele C
G
si C
S
realizeaz cuplajul n curent alternativ ntre
generatorul de semnal (etajul anterior) i intrarea amplificatorului, respectiv ntre ieirea
amplificatorului i sarcin (care poate fi o impedana de intrare a etajului urmtor).
Cele dou condensatoare de cuplaj realizeaz i separarea n curent continuu
(blocheaz componenta continu) ntre generatorul de semnal i etajul de amplificare,
respectiv ntre acesta din urma i sarcin.
32
Condensatorul C
E
realizeaz decuplarea rezistentei R
E
n curent alternativ, astfel
nct emitorul tranzistorului sa fie conectat la masa n regim dinamic (conexiune emitor
comun).
Se considera ca cele trei condensatoare au capacitatea suficient de mare pentru ca
s se comporte practic ca nite scurt-circuite la frecvent minim din band.
Polarizarea tranzistorului pentru o tensiune de alimentare data E
C
este realizata de
ctre divizorul rezistiv din baza format din rezistentele R
1
si R
2
care mpreun cu
rezistena din emitor R
E
asigur i stabilizarea punctului static de funcionare cu
temperatura.
Rezistena din colector R
c
are de asemenea rol n polarizarea tranzistorului
(particip la stabilirea valorii curentului de colector) dar e i sarcina a tranzistorului in
regim dinamic.
Punctul static de funcionare M
0
(Fig.2.8) se amplaseaz n regiunea de
funcionare permis n planul caracteristicilor de ieire ale tranzistorului bipolar n
conexiune EC. Aceasta regiune de funcionare pentru amplificatoare n clasa A coincide
practic cu regiunea activa normal a tranzistorului fiind delimitat de B: hiperbola de
putere disipat maxim, valoare maxim a curentului de colector, tensiunea de colector
maxim, valoarea minim a tensiunii colector emitor pentru care tranzistorul nu intr n
regiunea de saturaie (se consider egal cu 0,5V) i valoarea minim a curentului de
colector pentru care tranzistorul nu intr n regiunea de blocare (corespunztoare la limit
unui curent de baz nul).
Punctul M
0
se afl la intersecia caracteristicii i
C
=f(u
CE
)/i
B
=ct cu dreapta de
sarcina statica a crei ecuaie se obine aplicnd legea a-2-a a lui Kirkoff in circuitul de
colector al amplificatorului din Fig.2.7.
( )
C E C CE E E C C CE C
I R R U R I R I U E + + ~ + + = (2.19)
Dreapta de sarcina static intersecteaz cele 2 axe de coordonate n punctele E
C
si
E
C
/R
C
+R
E
i are panta data de
E C
R R tg + ~ o (vezi Fig.2.8).

Fig.2.8
33

Poziia punctului static de funcionare este descris de coordonatele sale
0
CE
U ,
0
C
I si
O
B
I cruia i corespunde o tensiune
O
BE
U (n planul caracteristicii de intrare).
Punctul instantaneu de funcionare avnd coordonatele i
c
(t) i u
ce
(t) descrie aa
numita caracteristica dinamic care nu coincide cu dreapta de sarcin static deoarece n
regim dinamic sarcina tranzistorului este format din R
C
n derivaie cu R
S
(mai mic
dect R
E
+R
C
).
Caracteristica dinamic este de fapt segmentul de dreapt M
1
M
2
, avnd panta
S C
R R tg // = | i a crui lungime depinde de amplitudinea semnalului. Mijlocul acestui
segment este M
0
deoarece punctul mediu de funcionare n regim variabil coincide cu
punctul static de funcionare n absena semnalului.
Se face meniunea c locul geometric al punctului instantaneu de funcionare
(caracteristica dinamic) nu mai este un segment de dreapt n cazul n care sarcina
conine componente reactive, ci o elips al crei aspect depinde de caracterul i mrimea
componentei reactive a sarcinii.
Amplasarea punctului static de funcionare n interiorul zonei permise se face pe
baza urmtoarelor considerente:
- evitarea disiprii unei puteri mai mari dect cea maxim admisibil
- obinerea unor distorsiuni ct mai mici ale semnalului amplificat;
- asigurarea stabilitii i reproductibilitii amplificrii i a semnalului de ieire;
De fapt, trebuie avut n vedere nu numai amplasarea punctului static de funcionare,
ci a ntregii caracteristici dinamice care trebuie s fie situat n ntregime n interiorul
regiunii permise de funcionare.
Pentru micorarea distorsiunilor se va alege o zon ct mai liniar din planul
caracteristicilor statice. De regul punctul static de funcionare se poziioneaz n zona
central a planului caracteristicilor statice de ieire, alegnd
2
0
C
CE
E
U = . Este esenial
evitarea distorsiunilor grosiere ale semnalului prin ptrunderea caracteristicilor dinamice
n tiere sau n saturaie. Pentru aceasta, considernd un punct static stabilit, amplitudinea
semnalului la ieire trebuie s ndeplineasc condiiile:
- pentru a evita saturaia, valoarea maxim a amplitudinii tensiunii n colector
trebuie s fie:
CEsat CE Ce C
U U U U = =
0
max max
(2.20), unde 5 , 0 =
CEsat
U V,
valoare acoperitoare;
- pentru a nu se ptrunde n zona de blocare(definit pentru 0 =
B
i ) se impune:
CO C
I I =
max
(2.21), (unde
max C
I este valoarea maxim a amplitudinii curentului
alternativ de colector), ceea ce este echivalent cu:
co s ce C
I R U U .
'
max max
= = (2.22)
unde R
S
este sarcina echivalent n regim dinamic i are expresia
,
.
'
S C
S C
S
R R
R R
R
+
= (2.23)
Aa cum se vede din Fig.2.8, poziiile extreme ale caracteristicii dinamice
1
M
i
2
M pot fi condiionate i prin intermediul amplitudinii semnalului de intrare, fie
curentul (
B
I ), fie tensiunea (
B
U ,folosind caracteristica de intrare a tranzistorului). Se
poate spune c n cazul ilustrat n Fig. 2.8 pentru amplitudinea semnalului de intrare
34
B
U , punctul static de funcionare a fost ales corect, ntruct pe o perioad a semnalului
tranzistorul nu intr nici n saturaie nici n blocare. Pentru amplitudini mici ale
semnalului de intrare punctul static de funcionare se alege mai jos pe dreapta de
sarcin, n scopul reducerii puterii absorbite de la sursa de alimentare n curent
continuu.
Meninerea unei funcionri liniare a tranzistorului n scopul obinerii unor
distorsiuni ct mai mici ale semnalului amplificat este legat deci de fixarea punctului
static de funcionare n regiunea liniar a caracteristicilor, dar este determinat i de
temperatura la care lucreaz tranzistorul i mai ales de variaiile acestuia. Pentru ca
variaiile de temperatur s nu aib ca efect deplasarea necontrolat a punctului static de
funcionare (i a caracteristicii dinamice), se practic stabilizarea acestuia la variaiile de
temperatur, ceea ce nltur de obicei i efectul dispersiei parametrilor. Are loc n acest
fel o insensibilizare a punctului static de funcionare care asigur stabilitatea i
reproductibilitatea amplificrii i a semnalului de ieire. Cea mai simpl metod de a
realiza aceast insensibilizare este utilizarea circuitului de polarizare folosit i n cazul
schemei din Fig.2.7 .Se face meniunea c alegerea punctului static de funcionare(aa
cum a fost prezentat mai sus), i calculul elementelor de polarizare a tranzistorului care
s asigure insensibilizarea punctului static de funcionare, se realizeaz la fel pentru
toate cele trei conexiuni n care poate funciona tranzistorul.
n Fig.2.9 a este reprezentat un circuit echivalent n curent alternativ al
amplificatorului, obinut din circuitul complet (Fig.2.7) prin eliminarea
condensatoarelor considerate scurtcircuite n regim dinamic. Rezistena
B
R are expresia:
2 1
2 1
.
R R
R R
R
B
+
= (2.24)
n regim dinamic
1
R este conectat n paralel cu
2
R prin intermediul sursei de tensiune
de alimentare
C
E , care n curent alternativ se prezint ca un scurtcircuit (rezistena sa
intern are valori de sau zeci de ).
Dac n Fig.2.9 a se nlocuiete tranzistorul cu schema sa echivalent cu parametri
hibrizi se obine schema echivalent complet n curent alternativ a amplificatorului EC
(Fig.2.9 b).
Neglijnd efectul reaciei intere ntre ieire i intrare, adic 0
12
= h se obine
rezistena de intrare a tranzistorului:
e T itr
h
I
U
R
11
'
1
1
,
~ = (2.25)
independent de rezistena de sarcin, care i-ar fi manifestat efectul prin valoarea
tensiunii de ieire
2
U , dac nu s-ar fi neglijat
12
h .
Rezistena de intrare a etajului este dat de
B
R n derivaie cu
T r
R
, int
. Valorile
uzuale pentru
B
R sunt de ordinul zecilor k de iar pentru
e
h
11
civa k pentru
C
I de
ordinul miliamperilor.
Se poate deci aprecia c
e B
h R
11
)) i atunci rezistena de intrare a etajului va fi:
e
e B
e B
h
h R
h R
I
U
R
11
11
11
1
1
int
.
s
+
= = . (2.26)
35

figura 2.9

Efectul rezistenelor de polarizare a tranzistorului este redus, astfel c rezistena de
intrare a etajului EC este moderat i el poate fi considerat ca fiind atacat n tensiune(se
consider
int
R de valoare redus).
Rezistena de ieire a amplificatorului este dat de
C
R n derivaie cu
e
h
22
1
, n
condiiile n care semnalul de intrare considerat a fi nul( 0
1
= I ), ceea ce conduce la
0
'
1
= I dac din nou se neglijeaz reacia intern a tranzistorului( 0
12
~ h ):

C
e C
C e
C
e
C
ies
R
h R
R
I
h
R
R
R
I
I
U
R s
+
=
+
= =
22 2
22
22
2
2
2
. 1
1
1
. (2.27)

Deoarece
C
R este de ordinul a civa k, pentru
C
I de ordinul miliamperilor, iar
e
h
22
1

este de zeci de k, rezistena de ieire a etajului este aproximativ egal cu rezistena de
colector. Aceast rezisten fiind de valoare moderat (k) pentru a putea preciza dac
etajul este citit n curent sau tensiune, se ia n discuie valoarea rezistenei de sarcin
S
R :
- dac
S
R <<
C
R , atunci etajul lucreaz practic n scurtcircuit i furnizeaz sarcinii un
curent aproape egal cu curentul alternativ de colector;
- dac
S
R >>
C
R , atunci etajul lucreaz practic n gol i furnizeaz sarcinii o tensiune
aproape egal cu cea maxim pe care o poate da etajul pentru un semnal de intrare
precizat.
S presupunem c etajul EC este atacat de un generator de tensiune cu rezistena
intern
g
R . Admitem c 0
12
~
e
h i c
B
R >>
e
h
11
i utiliznd notaia (2.23) pentru
sarcina echivalent n regim dinamic. aceste condiii, utiliznd schema echivalent a
etajului EC (Fig.2.9 b), se poate scrie expresia amplificrii n tensiune raportat la
tensiunea dat de generator n gol:
36
( ) ( )( )
'
22 11
'
21
11 1
22
'
22
'
1 21
2
1
1
.
1
1
.
. .
S e e g
S e
e g
e
S
e
S
e
g
R h h R
R h
h R I
h
R
h
R
I h
U
U
g u A
+ +

=
+
+

= = (2.28)
S-a inut seama de faptul c dac
B
R >>
e
h
11
atunci
'
1 1
I I = .
Dac
g
R <<
e
h
11
(presupunere perfect valabil n cazul unui generator de tensiune) i
'
S
R <<
e
h
22
1
atunci expresia amplificrii n tensiune devine:

'
11
21
1 S
e
e
R
h
h
g u A ~ (2.29)
Se observ c:
- tensiunea n colector este n antifaz cu cea furnizat de generator (i deci cu
semnalul din baz);
- modulul amplificrii n tensiune este proporional cu
'
S
R care nu poate fi mrit prea
mult pentru c
C
R este limitat de cderea de tensiune continu;
- deoarece mrimea amplificrii depinde de parametrii tranzistorului n mod direct,
este foarte sensibil la condiiile de lucru(tensiune de alimentare, temperatur, etc.)
care afecteaz valorile parametrilor hibrizi.
Pentru a determina expresia amplificrii n curent se consider c etajul EC este
atacat de un generator de curent avnd rezistena intern
g
R (Fig.2.10).

Considernd 0
12
~
e
h i
B
R >>
e
h
11
, echivalent cu
'
1 1
I I = amplificarea n curent
raportat la curentul dat de generator n gol, se scrie (conform [2]):

S C
C
S e
e
e g
g
g I
R R
R
R h
h
h R
R
I
I
A
+ + +
~ =
'
22
21
11 1
2
,
1
(2.30)
Deoarece
g
R >>
e
h
11
i
'
S
R <<
e
h
22
1
, expresia amplificrii n curent devine:

S C
C
e g I
R R
R
h A
+
=
21 ,
(2.31)
Amplificarea n curent devine maxim n condiii de scurtcircuit virtual, adic
S
R <<
C
R i este egal cu
e
h
21
.
n practic, n scopul obinerii unor amplificri de valori ridicate, se
utilizeaz configuraii de etaje EC conectate n cascad. Deoarece la un etaj EC,
e r
h R
11 int
~ este de acelai ordin de mrime cu cel al rezistenei de ieire,
C ies
R R ~ ,
37
nu se poate spune c avem de-a face cu un amplificator de tensiune sau cu unul de
curent. De fapt, etajul, EC amplific substanial n tensiune, ct i n curent, deci
prezint o amplificare important n putere, ceea ce constituie de fapt avantajul su
principal. Utiliznd mai multe etaje EC n cascad se poate mri foarte mult puterea
semnalului aplicat la intrare. Pentru a asigura un transfer maxim de putere n
sarcin, rezistena de ieire
ies
R , trebuie s fie egal cu rezistena de sarcin
S
R . n
cazul etajelor EC conectate n cascad,
C ies
R R ~ i
e S
h R
11
~ (rezistena de intrare a
etajului urmtor, sarcin pentru etajul discuie) i condiia de adaptare
e C
h R
11
=
poate fi realizat uor.
Etajul EC are un mare dezavantaj: aa cum se poate vedea din expresiile
(2.26), (2.29) i (2.31), aproape toi parametrii amplificatorului (i ntr-o anumit
msur i
ies
R ) depind de parametrii tranzistorului i prin aceasta de condiiile de
lucru. Pentru a reduce efectul acestei condiii, se utilizeaz o schem de polarizare a
tranzistorului care s asigure stabilizarea punctului static de funcionare n raport cu
variaia temperaturii, precum i cu dispersia parametrilor tranzistorului. Sursa de
alimentare trebuie s fie stabilizat. Chiar i aa asigurarea reproductibiliti
amplificrii este dificil.
Pentru determinarea efectului condensatorului de cuplaj cu generatorul se
utilizeaz circuitul din Fig.2.11 .
Se presupune c
E
C astfel nct el realizeaz o decuplare perfect a
rezistenei
E
R . Considerm de asemenea c impedana de intrare a amplificatorului
este o rezisten pur.
Tensiunea furnizat de generator
g
U se aplic unui divizor de tensiune format
din
G
C g
X R , i
it
R de pe care se culege
1
U , tensiunea de intrare n amplificator care
se afl n interiorul liniei ntrerupte din Fig.2.11 .


Funcia de transfer a acestui circuit de cuplaj RC este:
( )
G
g
it
G
g
g
C j
R R
R
C j
R R I
R I
U
U
j E
=
=
=
1
1
int
int 1
int 1 1
+ +
=
|
|
.
|

\
|
+ +
= = (2.32)
( ) = j E este maxim n modul la frecvene suficient de nalte, adic acolo unde
C
C este
practic un scurtcircuit. Dac = ,
38
( )
int
R R
R
E
g
it
+
= (2.33)
Fcnd notaiile: ;
i
=
=
= =

( )
it g G
i
R R C +
=
1
= (2.34)
Unde = este frecvena normat obinem:
( )
( ) =
=
=
=
=
j
j
R R
R
R R C j
R C j
j E
g G G
G
+ +
=
+ +
=
1 1
int
int
int
int
(2.35)
Funcia de transfer normata este:
( )
( )
( )
=
= =
=
j
j
E
j E
j E
+
=

=
1
(2.36)
Pentru
i
= = = , frecvena normat este 1 = = i modulul funciei de transfer normate va
fi:
( )

2
1
1
1
2
=
+
=
= =
=
=
= j E (2.37)
Cu alte cuvinte
i
= este frecven unghiular pentru care modulul funcie de transfer
scade la
2
1
din valoarea sa maxim. Are loc reducerea n aceiai proporie a
amplitudinii semnalului de intrare la
2
1
=0,707 din valoarea pe care o are n banda de
lucru. Aceast reducere a amplificrii are loc pentru o frecven numit limit inferioar,
ce delimiteaz convenional banda de lucru a amplificatorului (vezi Fig.2.3) i conform
relaiei (2.34) are expresia:
( )
int
2
1
2
/
R R
f
g
i
C i
E
+
= =

t t
e
(2.38)
Reducerea amplificrii se explic prin existena pierderilor de semnal pe condensatorul
de cuplaj
G
C la frecvene joase, cnd reactana acestuia se mrete foarte mult.
Se demonstreaz n [2] c dac se consider
G
C , condensatorul
E
C de decuplare a
rezistenei din emitor, determin la rndul su o frecven limit inferioar la care
amplificarea scade la 707 , 0
2
1
= din valoarea din banda de lucru. Scderea
amplificrii la frecvene joase apare din cauza nedecuplrii rezistenei
E
R de ctre
E
C ca
urmare a creterii reactanei sale capacitive. Expresia frecvenei limit inferioar
determinat de
E
C este urmtoarea:

( )
e g E
e
C i
h R C
h
f
G
11
21
1
/
+
+
=

(2.39)
s-a considerat aici c
g B G
R R C >> , i ( )
e g E e
h R R h
11 21
1 + >> + . Dac se impune
i
f , atunci alegerea condensatoarelor
G
C i
E
C se face n felul urmtor: se consider
39
e
h R
11 int
~ i se impune condiia formal ca frecvenele limit inferioare din expresiile
(2.38) i (2.39) s fie egale. Se obine:
( ) ( )
G e G E
e g E
e
e g G
C h C C
h R C
h
h R C
>> + =
+
+
=
+
) 1 (
2
1
2
1
21
11
21
11
t t
(2.40)
Deci
E
C este mult mai eficace dect
G
C i el trebuie s aib o valoare mult mai mare
dect
G
C pentru a realiza o decuplare eficace. De aceea se va alege
G
C mult mai mare
(de 10-20 ori) dect valoarea obinut din relaia sa de calcul (2.38) astfel nct s poat fi
considerat foarte mare i s poat fi utilizat n relaia de calcul a lui
E
C (2.39).
Calculul condensatoarelor de cuplaj cu sarcina
S
C poate face cu relaia de
calcul pentru (2.38), n care se nlocuiesc
g
R cu
ies
R i
int
R cu
S
R .
Un fenomen de scdere a amplificrii are loc i la frecvene nalte, unde
reactanele parazite ale tranzistorului i ale montajului scad foarte mult producnd un
efect de untare a semnalului. Exist o frecven limit superioar
S
f pentru care
modulul amplificrii scade la 707 , 0
2
1
= din valoarea pe care o are n banda de lucru.
Aceast frecven limit delimiteaz convenional banda de lucru a amplificatorului, aa
cum se arat in Fig.2.3, i poate fi calculat cu relaia [2]:

( ) | |
e b
S m
e b
e b
G
S
C R g C
g G
f
' '
'
1 2
'
+ +
+
=
t
(2.41)
unde
m
bb
g
G
g
r R
G ,
1
'
'
+
= este panta de semnal mic al tranzistorului iar
c b e b bb
C C r
' ' '
, , i
e b
g
'
sunt parametri ai circuitului echivalent natural al tranzistorului.
Se observ c frecvena limit superioar depinde de rezistena de sarcin invers
proporional. Valoarea maxim se obine cnd
S
R =0(n scurtcircuit), dar atunci
amplificarea n tensiune e zero.
Pentru aprecierea performanelor etajului la nalt frecven se utilizeaz o
mrime numit produs amplificare - band, unde band este conform relaiei (2.17)
S
f B ~ . Aceast mrime este constant i valoarea ei maxim se obine dac
amplificatorul lucreaz n gol ( )
S
R i
g
R =0, [2]:
t cons
r C
AB
bb c b
x
tan
2
1
' '
max
= =
t
(2.42)
unde
b b c b
r C
' '
, sunt date de catalog care permit calcularea direct a valorii maxime a
produsului amplificare - band.
Formal, aceasta este frecvena limit de lucru (amplificarea n tensiune ajunge egal cu
unitatea) a unui etaj EC atacat de un generator ideal de tensiune i lucrnd n gol.







40

2.3 Etaj de amplificare cu tranzistor bipolar n conexiune colector comun

n Fig.2.12 a este prezentat un amplificator cu tranzistor bipolar n conexiune colector
comun. Circuitul de polarizare al tranzistorului este similar celui utilizat n cazul
conexiunii EC (
E
R R R , ,
2 1
). De asemenea, condensatoarele din schem (
G
C i
S
C ) au
acelai rol i trebuie s ndeplineasc aceleai condiii ca i condensatoarele omoloage din
schema amplificatorului EC (vezi paragraful 2.2). Spre deosebire de configuraia etajului
EC, aici lipsesc condensatorul
E
C i rezistena
C
R prin aceasta forndu-se funcionarea
tranzistorului n conexiunea CC. Astfel, emitorul nu mai este legat direct la mas ci prin
E
R , iar colectorul este conectat n curent alternativ la mas prin sursa de alimentare.
Aceasta se observ uor din schema echivalent n curent alternativ a amplificatorului CC
prezentat n Fig.2.12 b obinut din schema amplificatorului(Fig.2.12 a), considernd
condensatoarele ca nite scurtcircuite i nlocuind
1
R i
2
R n derivaie cu
2 1
2 1
R R
R R
R
B
+
= .

Schema de curent alternativ detaliat se obine nlocuind tranzistorul cu circuitul su
echivalent descris cu parametrii hibrizi(Fig.2.13 a) i considernd 0
12
~
e
h i 0
22
~
e
h .
Chiar dac tranzistorul funcioneaz n conexiune colector comun, sunt utilizai parametri
hibrizi n conexiune emitor comun n scopul obinerii unor relaii de calcul n care
intervin aceiai parametri care pot fi obinui direct din catalog.
Se observ c intrarea nu mai este separat de ieire, adic etajul nu mai este un
amplificator unidirecional, chiar dac s-a neglijat reacia intern a
tranzistorului( A ~
e
h
12
). Circuitul de intrare i cel de ieire au n comun
impedana(rezistena) de sarcin. Ca urmare,
int
R va fi influenat de
S
R , iar
ies
R va
depinde de
g
R .
41

Considernd c
e
E
h
R
22
1
<< i
e
S
h
R
22
1
<< se calculeaz
int
R :
S E
S E
e e
S E
S E
e
S E
S E
e
T
R R
R R
h h
I
R R
R R
h
R R
R R
h I
I
U
R
+
+ + =
+
+
+
+
= = ) 1 (
) (
21 11
'
1
21 11
'
1
'
1
1
int,
(2.43)

B
T B
T B
R
R R
R R
I
U
R s
+
= =
int,
int,
1
1
int
(2.44)
Una din condiiile de proiectare a reelei de polarizare este cea care asigur stabilitatea
punctului de funcionare[2]:
E B
R R ) 1 ( + << | (2.45)
Deoarece n practic de regul
E
R este un ordin de mrime mai mare dect
S
R se poate
scrie(neglijnd
e
h
11
) c
S e T
R h R ) 1 (
21 int,
+ ~ . Avnd n vedere c
e
h
21
~ | , se obine din
(2.45) c
T B
R R
int,
<< , ceea ce justific relaia (2.44). Aceast relaie arat c rezistenele
divizorului de baz, alese din considerente de polarizare, scurtcircuiteaz rezistena de
intrare a tranzistorului i limiteaz astfel rezistena de intrare a etajului.
B
R are valori
uzuale de O k sau zeci de O k iar
T
R
int,
are valori de sute de O k .
Oricum, ca urmare a nedecuplrii
E
R , rezistena de intrare a amplificatorului CC este
mai mare dect rezistena de intrare a etajului EC i se poate considera atacul etajului CC
n tensiune.
Rezistena de ieire a etajului se definete pentru 0 =
g
U i se calculeaz utiliznd
circuitul din Fig.2.13 b n care tensiunea de ieire este simulat cu un generator de
tensiune
2
U . Notnd
g B
g B
g
R R
R R
R
+
=
'
se obin succesiv

( )
( )
e
g e
e
g e
T ies
h
R h
h I
R h I
I
U
R
21
'
11
21
'
1
'
11
'
1
'
2
2
,
1 1 +
+
=
+
+
= = (2.46)

T ies
T ies E
T ies E
ies
R
R R
R R
R
,
,
,
s
+
= (2.47)
Justificarea relaiei (2.47) este urmtoarea: dac
g
R care este mult mai mic dect
B
R (zeci de O k ) este de ordinul O k , atunci pentru
e
h
21
de ordinul sutelor, se obine
T ies
R
,

42
de ordinul zecilor de O i innd seama de faptul c
E
R este de sute de O sau O k , avem
c
E
R >>
T ies
R
,
ceea ce duce la inegalitatea(2.47).
Deci rezistena de ieire a etajului CC este foarte mic(zeci de ohmi). Valoarea minim
a rezistenei de ieire se obine pentru 0 =
g
R .
( )
1
21
11
min
,
+
=
e
e
T ies
h
h
R (2.48)
aceasta fiind egal cu rezistena de intrare emitor-baz n conexiunea baz comun, cu
0
22
~
e
h (vezi relaia 2.53).
Avnd rezistena de intrare mare i rezisten de ieire mic etajul CC poate fi privit ca
un amplificator de curent. Amplificarea n tensiune a etajului se calculeaz utiliznd
schema din Fig.2.13 a, utiliznd notaia:
S E
S E
E
R R
R R
R
+
=
'

( )
1
) 1 (
) 1 (
) (
'
21 11
'
21
'
21
'
11
'
1
'
21
' '
1
1
2
s
+ +
+
=
+ +
+
= =
E e e
E e
E e E e
E e E
U
R h h
R h
R h R h I
R h R I
U
U
A (2.49)
Amplificarea n tensiune este subunitar, dar foarte apropiat de unitate (valori uzuale
de 0,98;0,99 ) deci de fapt etajul nu amplific n tensiune.
Dac
e E e
h R h
11
'
21
) 1 ( >> + , atunci
1 2
U U ~ i etajul poate fi considerat ca un repetor de
tensiune (repet la ieire tensiunea aplicat la intrare) cele dou tensiuni fiind n faz.
Expresia amplificrii n curent se obine utiliznd schema din Fig.2.13 a i ea este
conform [2] de forma urmtoare:
T B
B
S E
E
e
S
I
R R
R
R R
R
h
I
I
A
int,
21
1
'
) 1 (
+ +
+ = = (2.50)
Semnul minus semnific faptul c sensul real al curentului
S
I este opus celui considerat
n Fig.2.11 a . Se observ c are loc o pierdere a unei pri din amplificarea n curent a
tranzistorului ) 1 (
21
+
e
h prin divizarea curentului att la intrare ct i la ieirea etajului.
innd seama de faptul c ( )
B
S E
S E
e T
R
R R
R R
h R >>
+
+ ~ 1
21 int,
se obine:
S
B
T B
B
S
T I
R
R
R R
R
R
R A =
+
~
int,
int,
1
, (2.51)
i deoarece
S B
R R >> , se poate obine o amplificare n curent mult supraunitar, dar
oricum mai mic dect 1
21
+
e
h .
Avnd n vedere c o pierdere substanial de curent apare din cauza divizorului care
polarizeaz baz, se poate mri
I
A dac se renun la acest divizor i se utilizeaz o
singur rezisten n baz, care este de valoare mare i duce la dispersia parametrilor
tranzistorului.
Pierderile de curent apar i prin divizarea curentului alternativ de emitor ntre rezistena
de sarcin
S
R i rezistena din emitor
E
R . Nu se poate alege
E
R >>
S
R deoarece ar crete
foarte mult cderea de tensiune continu pe
E
R . Ar fi util pentru micorarea pierderilor
n curent alternativ, dac
E
R ar fi o rezisten neliniar mare n curent alternativ i mic
n curent continuu. Se poate nlocui
E
R cu un generator de curent care ar asigura
43
polarizarea tranzistorului din etajul de amplificare cu un curent bine determinat(constant)
i ar face ca
S
I s fie practic egal cu curentul din emitor, nlturnd astfel pierderile n
curent alternativ.
Amplificarea n tensiune a etajului este 1 s
U
A . Ca urmare
2
U este n faz cu
1
U i au
aproximativ aceiai mrime. Din aceast cauz etajul CC mai este numit repetor de
tensiune sau repetor pe emitor.
Dac etajul de amplificare ar fi echipat cu o triod n conexiune anod comun, atunci ar
avea numele de repetor pe catod sau repetor catodic, iar dac etajul ar fi echipat cu un
tranzistor unipolar n conexiune dren comun, ar avea numele de repetor pe surs. n
mod evident, comportarea etajului este aceiai pentru toate cele trei variate de dispozitive
active.
Repetorul pe emitor amplific n curent i cum
1 2
U U ~ , el amplific i n putere.
innd seama de comportarea repetorului pe emitor, se pot evidenia dou proprieti ale
acestuia:
1) permite debitarea unui curent alternativ important printr-o rezisten de sarcin de
valoare relativ mic, fr ca amplitudinea tensiunii s scad apreciabil.
2) ofer o impedan de intrare mare pentru etajul precedent care poate fi un etaj de
amplificare n tensiune sau un oscilator(ambele necesit rezisten de sarcin mare
pentru o funcionare corect)
Aceste dou proprieti sunt o manifestare a calitii repetorului de etaj transformator
de impedan.
Deci repetorul pe emitor este utilizat ca etaj de ieire(de putere) i pentru adaptarea cu
sarcina.
Este de remarcat c etajul ofer o capacitate de intrare mic, ceea ce i permite o
comportare bun la frecvene ridicate.

2.4. Etaj de amplificare cu tranzistor bipolar n conexiune baz comun

Schema unui etaj de amplificare BC avnd tranzistorul polarizat cu dou surse de
alimentare este prezentat n Fig. 2.14. a. Condensatorul C
G
i C
s
au rol de cuplaj i de
separare n curent continuu. Ele au ca i la etajele precedente o capacitate suficient de
mare pentru ca la frecvena minim din banda de lucru a amplificatorului s poat fi
considerate ca nite scurtcircuite.


a) b)
Fig. 2.14.
Polarizarea tranzistorului cu dou surse de alimentare nu este convenabil din
punct de vedere practic i de aceea este utilizat varianta din Fig. 2.14. b, cu o singur
surs de alimentare. Se observ c circuitul de polarizare al tranzistorului n conexiune
BC este identic cu cel utilizat pentru celelalte dou conexiuni i n mod evident se
44
calculeaz la fel, urmrind realizarea stabilizrii cu temperatura a punctului static ales
pentru funcionarea n clas A.
Condensatorul C realizeaz decuplarea rezistenei R
2
n curent alternativ i
conectarea bazei la mas. El va avea o capacitate suficient de mare pentru ca la frecvena
minim din banda de lucru a amplificatorului s poat fi considerat scurtcircuit.
Pentru ambele variante, schema de curent alternativ este aceeai, fiind prezentat
n Fig. 2.15. a. Dac se nlocuiete tranzistorul cu circuitul su echivalent descris cu
parametrii hibrizi n conexiune emitor comun (motivaia utilizrii parametrilor h
e
este
aceeai ca i pentru etajul BC, vezi paragraful 2.3.) se obine schema din Fig. 2.15. b,
care se va utiliza pentru studiul comportrii etajului n regim dinamic.

a) b)
Fig. 2.15.
Pentru a calcula rezistena de nitrare a tranzistorului i a etajului se neglijeaz h
12e

i h
22e
. Pentru nodul E (emitor) se poate scrie, conform legii I a lui Kirchhoff:
( )
b e b e b
I h I I h I I 1 0
12
`
1 21
`
1
+ = = + + (2.52.)
Folosind relaia (2.52.), rezistena de intrare a tranzistorului este:

( ) 1 1
21
11
21
11
`
1
1
int,
+
=
+

= =
e
e
b e
b e
T
h
h
I h
I h
I
U
R (2.53.)
Pentru un tranzistor de mic putere, h
11e
este de ordinul k, iar R
21e
de ordinul
sutelor, astfel c R
int,T
pentru un tranzistor n conexiune BC este mic, de ordinul zecilor
de ohmi.
Rezistena de intrare a etajului va fi:

T r E T r
T r E
T r E
r
R R R
R R
R R
I
U
R
, int , int
, int
, int
1
1
int
, >> s
+

= = (2.54.)
Cum R
E
pentru un amplificator de semnal mic este de sute de ohmi sau K,
rezistena de intrare a etajului BC este cu puin mai mic dect R
intr,T
.
Deoarece rezistena de intrare este foarte mic (zeci de ohmi), vom considera
etajul BC atacat n curent, aa cum este artat n Fig. 2.15. b. Rezistena de ieire pentru
0
22
~
e
h este:

C
I
ies
R
I
U
R
g
~ =
=0
2
2
(2.55.)
Dac se consider R
C
ca rezisten de sarcin, atunci R
ie,T
este foarte mare. Se
poate estima valoarea acestei rezistene considernd h
22e
=0, expresia ei fiind [2]:
45
( )
e e
e
R
T ies T ies
h h
h
R R
E
22 22
21
,
max
,
1 1
>>
+
= =

(2.56.)
i poate atinge valori de ordinul M
|
|
.
|

\
|
O = O = sute h zeciK
h
e
e
21
22
;
1
.
Avnd rezistena de intrare foarte mic i rezistena de ieire foarte mare, etajul
BC se apropie de un amplificator ideal de curent. Neglijnd h
22e
, amplificarea n curent a
tranzistorului n conexiune BC este:

( ) 1 1 21
21
21
21
`
1
1
2
`
+

=
+
= =
e
e
b
b e
I
h
h
I e h
I h
I
I
A (2.57)
Semnul minus semnific faptul c sensul real al curentului
1
I este opus celui considerat
n Fig. 2.15. b. Deci amplificarea n curent a tranzistorului propriu - zis este subunitar i
etajul nu poate fi considerat un amplificator de curent.
Caracterul ideal al amplificatorului const n independena amplificri n curent
de circuitul exterior.
Considernd 0
22
~ h , amplificarea n tensiune a etajului este:
1
11
21
11
21
1
2
>> =


= =
c
e
e
b e
C b e
u
R
h
h
I h
R I h
U
U
A (2.58.)
Aici s-a considerat R
C
ca rezisten de sarcin a etajului i de asemenea,
1
U nu ine
seama de R
E
deoarece
rT E
R R
int
>> i
1
`
1
I I ~ .
Din relaia (2.58.) se observ c etajul BC amplific considerabil n tensiune i
expresia amplificrii are aceeai form ca i n cazul etajului EC, cu excepia semnului
(tensiunea de ieire e n faz cu tensiunea de intrare).
Dac rezistena R
C
este ncorporat etajului astfel nct conform (2.55.),
C ies
R R ~ , atunci amplificatorul BC poate fi privit ca un amplificator transrezisten. El
furnizeaz la bornele unei rezistene
C S
R R >> , amplasat n paralel cu R
C
o tensiune
C C C b e
R I R I R I h U ~ ~ ~
1
`
1 21 2
i deci amplificarea etajului va fi de forma:

C Z
R
I
U
A ~ =
1
2
(2.59.)
Etajul BC are dezavantajul c nu asigur amplificarea n curent i deci realizeaz
o amplificare n putere mai mic dect etajul EC, n schimb se comport mult mai bine la
frecvene nalte, avnd o capacitate de intrare mult mai mic dect cea de la schema EC.

2.5. Conceptul de reacie

S considerm un amplificator al crui semnal de intrare este furnizat de un
generator. Cu ajutorul unui atenuator se obine o fraciune determinat a semnalului de la
ieirea amplificatorului. La intrarea amplificatorului propriu - zis se aplic un semnal care
este rezultatul nsumrii algebrice a semnalului dat de generator cu semnalul de reacie
obinut la ieirea atenuatorului. Amplificatorul iniial cruia i se adaug atenuatorul i
sumatorul, formeaz un amplificator cu reacie.
Dac semnalul de reacie este n faz cu semnalul de intrare, reacia se numete
pozitiv, iar dac semnalul este n antifaz cu semnalul de intrare, reacia este negativ.
46
Reacia poate fi nedorit (parazit) atunci cnd apare din cauza unor influene
reciproce ale circuitelor ntre ele, fr existena unui circuit special de reacie anume
montat.
n continuare vom lua n considerare numai reacia dorit, care aplicat prin
intermediul unui circuit special poate modifica n sensul mbuntirii performanelor
amplificatorului de baz. Prin mbuntirea performanelor nu se nelege neaprat
mrirea amplificrii. Dimpotriv, se va folosi o astfel de reacie care cu sacrificarea unei
pri din amplificarea iniial a amplificatorului fr reacie (numit amplificator de baz),
s mbunteasc alte performane care in de calitatea amplificrii. Este vorba despre
reacia negativ. Calitatea amplificrii este dat de:
- sensibilitatea redus la dispersia parametrilor dispozitivelor la variaiile din
circuitul exterior amplificatorului (tensiunea de alimentare), etc.
- distorsiuni neliniare reduse n regim de funcionare la semnale mari;
- zgomot mai redus;
- rspuns n frecven ct mai bun;
- stabilitate bun n frecven (evitarea efectului destabilizant al unor anumite
reacii parazite care apar n amplificator la anumite frecvene ale semnalului).
Schema general a unui amplificator cu reacie este prezentat n Fig. 2.16
Semnalele X(t) sunt semnale oarecare i pot fi independente unele fa de altele
(cureni sau tensiuni).

Fig. 2.16


Pentru simplitate vom presupune c:
- circuitele sunt liniare;
- mrimile coeficienilor de transfer A i B nu depind de frecven;
- amplificatorul de baz este ideal.
Factorul de transfer al amplificatorului de baz (amplificarea) este

1
2
X
X
A = (2.60.)
iar al reelei de reacie este:

2
X
X
r
= | (2.61.)
Ecuaia de funcionare a sumatorului este:

r g
X X X + =
1
(2.62.)
47
Utiliznd relaiile (2.60.), (2.61.) i (2.62.) se obine amplificarea global, care
include i efectul reaciei:

A
A
X
X
X
X
X X
X
X
X
A
g
r

=
|
|
.
|

\
|

=
+
= =
|
|
| 1
1
1
2
1
2
2 1
2 2
(2.63.)
Relaia (2.63.) este de baz n teoria reaciei. Ea se mai scrie i sub forma:

T
A
A
r

=
1
, unde T se mai numete i transmisia pe bucla de reacie i este:
A T = | (2.64.)
Deoarece mrimile A i | sunt mrimi complexe, (2.63.) poate fi pus sub forma:

( )
B A
A
j
j
r
e A
e A
A

|
+


=
1
(2.65.)
Modulul i faza amplificrii sunt date de

( )
;
cos 2 1
2 2
A A
A
A
A
r
+ +
=
| |
|
(2.66.)

|
|
|
|

cos cos
sin sin
A
A
tg
A
A
S
r

+
= (2.67.)
n funcie de defazajul total
A
+

, reacia poate fi:


- pozitiv, dac
A
+

=2k, k=0,1,2, ... , ceea ce nseamn c cele dou semnale aplicate


amplificatorului sunt n faz i expresia amplificrii cu reacie este:
; ;
1 1
A A
T
A
A
A
A
r r
>

=

=
|
(2.68.)
- negativ, dac
A
+

=(2k+1), k=0,1,2, ... , ceea ce nseamn c cele dou semnale


aplicate amplificatorului sunt n antifaz, i expresia amplificrii cu reacie este:
A A
T
A
A
A
A
r r
<
+
=
+
= ;
1 1 |
(2.69.)
n relaiile (2.68.) i (2.69.), ca i n cele care urmeaz se consider pentru
simplificarea scrierii c A
r
, A, , etc., sunt modulele mrimilor complexe respective.
n amplificatoarele de curent continuu reacia este pozitiv dac cele dou
semnale aplicate amplificatorului au aceeai polaritate i negativ dac au polariti
diferite.
n amplificatoare se utilizeaz reacia negativ care asigur mbuntirea
performanelor amplificatorului i permite obinerea de scheme cu parametri
reproductibili, prin prescrierea unor tolerane strnse numai ctorva elemente din circuitul
de reacie, care este format din elemente pasive, mult mai stabile dect dispozitivele
active. Preul pltit pentru aceste avantaje este necesitatea asigurrii unui ctig foarte
mare al amplificatorului de baz, n scopul obinerii valorii impuse pentru A
r
n condiiile
n care, conform (2.69.), amplificarea este redus prin aplicarea reaciei negative.
48
Un caz particular important este cel al reaciei negative puternice, cnd T >> 1,
ceea ce nseamn c A
r
<< A sau A (foarte mare). Se obine:
| |
1
1
=

~
>>
A
A
A
T
r

(2.70)
independent de amplificator. Deci, n cazul unei reacii negative puternice, amplificarea
cu reacie depinde practic numai de reeaua de reacie. Schematic vorbind, aceast situaie
ilustreaz trecerea cantitii ctigul foarte mare al amplificatorului de baz, n calitate
stabilitatea amplificatorului cu reacie.
Este i cazul amplificatoarelor operaionale care au amplificare foarte mare i care
sunt utilizate numai n configuraii cu reacie a cror comportare depinde exclusiv de
tipul circuitului de reacie (a se vedea paragraful circuite integrate amplificatorul
operaional).
Reacia negativ este mult utilizat n amplificatoare. Dac pentru circuite mai
simple se pot aplica tehnici de compensare pentru stabilizarea performanelor, n schimb,
pentru circuitele complexe cum ar fi amplificatoarele cu mai multe etaje, reacia negativ
(global aplicat mai multor etaje) este sigurul procedeu care permite obinerea unor
performane stabile.

2.6. Configuraii ale circuitelor cu reacie negativ

Reacia este de mai multe tipuri, n funcie de modul de culegere a semnalului de
reacie i de modul de aplicare al acestuia la intrare.
Semnalul de ieire al amplificatorului ( )
2
X , care este n acelai timp semnalul de
la intrarea reelei de reacie poate fi:
- o tensiune, cnd semnalul de reacie este proporional cu tensiunea de la ieirea
amplificatorului i se spune c avem o reacie de tensiune (semnalul de reacie se culege
n paralel cu impedana de sarcin);
- un curent, cnd semnalul de reacie este proporional cu curentul de ieire i se spune c
avem o reacie de curent (semnalul de reacie se culege n serie cu impedana de sarcin);
Semnalele asociate sumatorului (
r g
X X , i
1
X ) pot fi independent de
2
X fie
cureni fie tensiuni. Deci semnalul de la ieirea reelei de reacie poate fi:
- o tensiune, aplicat n serie cu tensiunea dat de generator i avem o reacie
serie;
- un curent, aplicat n paralel cu cel dat de generator i atunci avem o reacie
paralel.
Prin urmare, exist patru configuraii distincte, prezentate n cele ce urmeaz.
Tipul generatorului de semnal va fi adaptat configuraiei circuitului de intrare. Dac
semnalul de la ieirea reelei de reacie este o tensiune, se folosete o reprezentare tip
serie, cu generator de tensiune, iar dac este un curent, se utilizeaz o reprezentare de
tip paralel, cu generator de curent.
49
1) Reacia de tip serie-paralel (serie la intrare, paralel la ieire), Fig.2.17, se
aplic unui amplificator de tensiune, deoarece semnalele cu care se opereaz la intrarea i
la ieirea amplificatorului de baz sunt tensiuni. Semnalul de reacie proporional cu
tensiunea de ieire, se culege n paralel cu impedana de sarcin i se aplic n serie cu
semnalul dat de generator, astfel c semnalul de intrare n amplificatorul; de baz a fi
suma tensiunilor U
g
i U
r
. De aceea aceste tip de reacie se mai numete reacie de
tensiune serie. Amplificatorul de baz, de tensiune, este caracterizat prin inegalitile
2) Reacie de tip paralel-serie (Fig.2.18). Semnalul de reacie, proporional
cu curentul de ieire I
2
care circul prin Z
s
, se culege n serie cu impedana de sarcin i
se aplic n paralel cu semnalul dat de generator. Curentul de intrare n amplificator este
I
1
=I
g
+I
r
. Acest tip de reacie se mai numete reacia de curent paralel. Deoarece
semnalele cu care se opereaz la intrare i la ieire sunt cureni, amplificatorul de baz
trebuie s fie un amplificator de curent caracterizat prin inegalitile:
r g
Z Z
int
>>
i
ies S
Z Z <<
3) Reacia de tip paralel-paralel sau de tensiune paralel (Fig. 2.19). Semnalul
de reacie proporional cu tensiunea de ieire, se culege n paralel cu impedana de sarcin
i se aplic n paralel cu semnalul dat de generator.
Deoarece semnalul de intrare este curent iar cel de ieire este tensiune,
amplificatorul de baz este un amplificator transimpedan, al; crui


50

coeficient de amplificare are semnificaia unei impedane:
r
ies
U
U
A
int
2
= . Acest
amplificator este caracterizat de inegalitile:
r g
Z Z
int
>> i
ies S
Z Z >>
4) Reacia de tip serie-serie, sau de curent serie (Fig.2.20). Semnalul de
reacie proporional cu curentul de ieire, se culege n serie cu sarcina i se aplic n
paralel cu semnalul dat de generator. Semnalul de intrare este tensiune iar cel de ieire
este curent, astfel c amplificatorul de baz este de tipul transadmitanei, caracterizat de
inegalitile:
r g
Z Z
int
<< i
ies s
Z Z << . Coeficientul su de amplificare are semnificaia
unei admitane:
r
ies
y
U
I
A
int
= .
Pentru fiecare tip de reacie, s-au Figurat i mrimile electrice care sunt comune
intrrii amplificatorului de baz i ieiri reelei de reacie. Astfel, mrimea de intrare este
tensiunea, iar mrimea comun intrrii amplificatorului i ieirii reelei de reacie este
curentul I
1
pentru reaciile serie-paralel (Fig.2.17) i serie-serie (Fig.2.20).

Pentru reaciile paralel-serie (Fig.2.18) i paralel-paralel (Fig.2.19), mrimea comun
conFiguraiei de intrare este tensiunea U
1
.
Observaie: Teoria reaciei este mult simplificat dac se ndeplinete condiia ca
reeaua de reacie dintr-o schem bine determinat s poat fi realizat. n realitate
reeaua de reacie ncarc att circuitul de intrare ct i circuitul de ieire al
amplificatorului, astfel nct conectarea acestei reele produce mai multe modificri n
calcule dect simpla adugare a semnalului de reacie n circuitul de intrare al
amplificatorului.

2.7. Influena reaciei negative asupra benzii de frecven a distorsiunilor neliniare
i a impedanelor de intrare i de ieire ale amplificatorului

S presupunem c amplificatorul de baz i reeaua de reacie au funciile de transfer
A(j) i (j) independente de circuitul exterior, dar dependente de frecven.
Amplificarea de reacie va fi de forma.(2.69):

r
A (j e )=
) ( ) ( 1
) (
e e |
e
j A j
j A
- +

(2.71)
Reacia pozitiv i reacia negativ se definesc n acest caz la fel ca n paragraful
2.5.1. Deoarece A(j) i (j) se modific atunci cnd variaz, n acelai circuit fizic
poate exista o reacie negativ pe anumite domenii de frecven i pozitiv pentru
51
celelalte frecvene. Se poate demonstra teoretic i verifica practic faptul c
amplificatoarele cu reacie negativ pot avea o comportare instabil din cauza apariiei
unei reacii pozitive, parazite, pe anumite domenii de frecven.
Pericolul ca amplificatorul s devin instabil, mpreun cu reducerea factorului de
amplificare al sistemului, pot fi considerate ca fiind dezavantajele reaciei negative.
Dac notm cu f
I
i f
s
frecvenele limit ale benzii amplificatorului la 3dB, n absena
reaciei, i cu f
ir
i f
sr
frecvenele limit n prezena reaciei, atunci sunt valabile relaiile:
f
ir
=
A
f
i
| + 1
; f
sr
=f
s
(1+ - | A) (2.72)
Pentru f
s
>> f
I
banda de lucru a amplificatorului fr i respectiv cu reacie, este:
B=f
s
-f
i
~ f
s
(2.73)
B
r
=f
r 2
-f
r 1
~ f
r 2
=f
r
(1+ ) A | =B(1+ ) A | (2.74)
Din (2.74) se observ o mrire a benzii ca urmare a aplicrii reaciei negative. Aceast
mrire a benzii are loc, conform (2.72) prin micorarea frecvenei limit inferioar i
mrirea frecvenei limit superioar.
Produsul amplificare band se conserv:
A
r r
B - = B A A B
A
A
= +
+
) 1 (
1
|
|
(2.75)
Deci banda amplificatorului cu reacie negativ crete exact n raportul n care scade
amplificarea. Se poate spune c efectul reaciei negative const n acest caz n efectul pe
care l exercit asupra compromisului amplificare band.
Reacia negativ are un efect favorabil i asupra distorsiunilor neliniare ale
amplificatoarelor pe care le reduce n raportul (1+A):
K
A
K
r
+
=
| 1
(2.76)
unde K i K
r
reprezint coeficieni de distorsiuni neliniare fr reacie i respectiv cu
reacie negativ.
Modul n care circuitul de reacie negativ reduce efectul neliniaritii
amplificatorului este prezentat n cele ce urmeaz. Amplificatorul de baz continu s
distorsioneze. Semnalul care atac acest amplificator va fi predistorsionat de ctre
semnalul de reacie, care este proporional cu semnalul de ieire distorsionat, fiind astfel
modificat, nct dup trecerea prin amplificator s fie refcut forma original a
semnalului de la generator.
Preul pltit pentru mbuntirea neliniaritii este reducerea amplificrii. Se va
introduce ca urmare un amplificator suplimentar care lucreaz liniar (la semnal mic).
Reacia negativ reduce efectul semnalelor parazite, mbuntind raportul semnal-
zgomot al amplificatorului. Reducerea zgomotului la ieirea amplificatorului cu reacie
negativ se explic tot prin predistorsionarea semnalului aplicat la intrarea
amplificatorului cu co component de zgomot inversat ca faz, introdus de reeaua de
reacie negativ.
n mod evident, reacia negativ nu poate avea nici un efect asupra raportului semnal
zgomot, dac zgomotul este introdus n circuit n acelai punct n care se introduce i
semnalul util. Ea este eficace numai dac semnalul parazit apare n interiorul
amplificatorului. n practic se realizeaz reducerea efectului unui semnal parazit prin
reacie negativ, adugnd un amplificator de semnal mic, care este imun la perturbaia
respectiv.
52
Reacia negativ are efecte favorabile asupra impedanei de intrare i respectiv de
ieire a amplificatorului de baz, deoarece produce modificri ntr-un asemenea sens
nct amplificatorul tinde s se transforme intr-un amplificator ideal.
Efectul reaciei negative asupra impedanei de intrare este determinat de tipul reaciei
de intrare:
- reacie negativ serie la intrare:
Z ) 1 (
, ,
A Z
in serie r in
+ = | (2.77)
unde Z
in
este impedana de intrare a amplificatorului fr reacie, iar Z
ins
serie este
impedana de intrare a amplificatorului cu reacie serie la intrare sau rezistena de ieire a
reelei de reacie vzut la bornele de intrare ale amplificatorului de baz.
- reacie negativ paralel la intrare:
Z
A
Z
in
paralel r in
+
=
| 1
, ,
(2.78)
Efectul reaciei negative asupra impedanei de ieire este determinat de tipul reaciei
de ieire:
- reacie paralel de ieire:
Z =
paralel r ies , ,

+
U
ies
A
Z
| 1
(2.79)
unde A
U
=A
U
/R
S
, amplificarea n tensiune n gol (maxim);
- reacie serie la ieire
(2.80)
unde A
Io
= A
I
/R
S
0, amplitudinea n curent n scurtcircuit (maxim).
De exemplu n cazul reaciei negative aplicate unui amplificator de tensiune,
impedana de intrare crete (este multiplicat cu 1+T>1), iar impedana de ieire scade
(dar nu n raportul n care scade amplificarea, deoarece se calculeaz cu transmisia pe
bucl considerat n gol T*=T/R
S
=A
U
/R
s
).Prin creterea impedanei de intrare i
scderea impedanei de ieire, respectiv citit n tensiune, deci este un amplificator de
tensiune mai bun (tinde spre un amplificator ideal de tensiune).


















53

3. Oscilatoare armonice
3.1 Generaliti
Un oscilator armonic este un circuit electronic care furnizeaz la bornele unei
rezistene de sarcin Rs un semnal de form sinusoidal:
u(t)=Usint (3.1)
Circuitul trebuie s conin dispozitiv electronice active capabile s transforme
puterea de curent continuu absorbit de la sursa de alimentare n putere de curent
alternativ, putere a semnalului furnizat sarcinii:
P
s
=
2
1

s
R
U
2
(3.2)

S considerm un amplificator cu reacie pozitiv de tipul celui prezentat n
paragraful 2.5.1.,Fig. 2.16. i descris de relaia (2.68). Acest circuit devine un oscilator
dac ndeprtnd semnalul de excitaie (x
g
=0), obinem totui un semnal de ieire, x
2
finit
i diferit de zero. Aceasta este echivalent cu :
A
r
=
g
X
X
2
=
A
A
- | 1
(3.3)
Rezult imediat condiia de oscilaie :
| A = 1 (3.4)
numit relaia Barkhausen.
n general, deoarece circuitul conine elemente reactive, mrimile A i sunt
mrimi complexe i valorile lor depind de frecven. Punnd n eviden amplitudinea i
faza acestor mrimi complexe, se obine c relaia Barkhausen este echivalent cu dou
condiii reale :
|A|||=1; (3.5)

A
+

=2k , k=0;1;2; (3.6)


Condiia de amplitudine (3.5) exprim necesitatea ca atenuarea introdus de
reeaua de reacie s fie compensat de ctre amplificatorul de baz.
Condiia de faz (3.6) arat c defazajul total introdus de amplificatorul de baz i
reeaua de reacie trebuie s fie un multiplu ntreg de 2, adic semnalul de reacie trebuie
s fie n faz cu semnalul de la intrarea amplificatorului (aceasta este n fond condiia de
realizare a reaciei pozitive).
Condiia de amplitudine |A|||=1 este greu de realizat n practic, deoarece
valoarea amplificrii se modific din cauza variaiei caracteristicilor tranzistoarelor
54
(dispozitivelor active) cu timpul, cu temperatura, cu tensiunile de alimentare, etc. Dac
A<1, atunci oscilaiile nceteaz, iar dac A>1 amplitudinea oscilaiilor va crete
pn cnd va fi limitat de un element neliniar asociat amplificatorului sau prin utilizarea
controlului automat al amplificrii, astfel nct mrimea amplificrii s se ajusteze de la
sine la valoarea necesar susinerii oscilaiilor.
Condiia de faz permite determinarea frecvenei de oscilaii.
Dac A(j) este un numr real (caz frecvent ntlnit n practic), atunci
A
=0 sau
A
=
(depinde de tipul amplificatorului de baz) i condiia de faz devine:

A
+

()=2k ; k=0;1;2;, (3.7)



i determin frecvena de oscilaie
osc
. n acest caz, frecvena de oscilaie este
determinat de ctre reeaua de reacie pozitiv. Se obine astfel i o stabilizare mai bun
a frecvenei de oscilaie, deoarece reeaua de reacie pozitiv este realizat din elemente
pasive, cu parametrii mult mai stabili la variaii ale temperaturii, tensiunii de alimentare,
etc. dect cei ai elementelor active care intr n compunerea amplificatorului de baz. De
aici rezult i un criteriu de clasificare a oscilatoarelor armonice, i anume dup natura
elementelor reelei de reacie.
Att pentru caracterizarea ct i pentru proiectarea unui oscilator, trebuie s se
determine n principal :
- condiia de amorsare a oscilaiilor ;
- frecvena de oscilaie, f
osc
=
osc
/2. ;
- amplitudinea de oscilaie pe o sarcin dat (U
osc.
) ;
- stabilitatea amplitudinii i a frecvenei de oscilaie ;
- forma exact a semnalului generat (evaluarea distorsiunilor).
Oscilatoarele armonice de tip amplificator cu reacie pozitiv se clasific dup
natura elementelor reelei de reacie astfel :
- oscilatoare RC - reea de reacie cu rezisten i capaciti;
- oscilatoare LC - reea de reacie cu inductan i capaciti.
Oscilatoarele pot lucra pe frecven fix sau variabil. Dup gama de frecven pe
care o acoper sau n care lucreaz oscilatoarele sunt :
- de audio frecven, cu frecvena de la civa Hz pn la zeci de kHz (uzual
20Hz20kHz);
- de radiofrecven : sute kHz1GHz ;
- de microunde, cu f
osc
>1GHz.
De regul oscilatoarele de audio frecven sunt de tip RC, iar cele de
radiofrecven de tip LC.(n cadrul cursului de fa ne vom ocupa numai de oscilatoarele
RC).
Trebuie precizat c nu toate oscilatoarele armonice sunt de tip amplificator cu o
reacie pozitiv. Exist o alt categorie de oscilatoare, cu dispozitive cu rezisten
dinamic negativ (dioda tunel, TUJ). Un astfel de dispozitiv genereaz putere de curent
alternativ dac este polarizat convenabil n curent continuu. Conectnd un astfel de
dispozitiv n serie sau n paralel cu un circuit rezonant, se pot obine oscilaii armonice
neamortizate dac rezistena dinamic negativ a dispozitivului compenseaz pierderile
din circuitul rezonant. Astfel de oscilatoare sunt utilizate pentru domeniul de
radiofrecven i de microunde.
55
Stabilirea regimului staionar de funcionare presupune n afara ndeplinirii
condiiei de oscilaie i existena etapelor tranzitorii corespunztoare apariiei, creterii
oscilaiilor i stabilizrii amplitudinii lor (amorsarea i limitarea oscilaiilor).
Apariia oscilaiilor are la baz dou fenomene ce acioneaz simultan i
independent :
1) fluctuaiile din circuit (fenomen aleator, necontrolabil) produse de: agitaia
termic, emisia electronic necontrolat, variaia tensiunilor de alimentare, zgomotul
componentelor, etc.;
2) reacia pozitiv, special prevzut n schem, controlabil ;
Fluctuaiile iniiale, acionnd i n circuitul de intrare al amplificatorului de baz,
vor modifica tensiunea de comand de la intrarea acestuia. Variaia acestei tensiuni va fi
amplificat, i prin intermediul reaciei pozitive va fi adus din nou la intrare spre a fi
reamplificat (ca tensiune de autoexcitaie), apoi readus la intrare, .a.m.d. Ca urmare a
amplificrii succesive, oscilaiile cresc dac energia introdus n circuit depete
pierderile proprii ale circuitului.
Considerm cazul unui amplificator ideal cu amplificarea dependent de mrimea
amplitudinii semnalului din circuit, U. Dependena A=A(U) poate fi determinat
experimental sau prin calcul, neglijnd eventualele armonici din circuit. Pentru a putea
accepta aceast neglijare, se utilizeaz un circuit de reacie cu o selectivitate ct mai bun
care va asigura excitaia amplificatorului pe bucla de reacie pozitiv cu un semnal ct
mai apropiat de o sinusoid (deci o singur frecven, cea dorit).
Reacia Barkhausen se scrie :
A(U) | (j e )=1 (3.8)
Dac impunem ca la frecvena de oscilaie
| (j e
osc
)e R (3.9)
se poate determina
osc
. Amplitudinea de oscilaie rezult din relaia :
A(U
osc
) =
) (
1
osc
je |
(3.10)




S presupunem c modulul amplificrii scade cu creterea amplitudinii
semnalului, aa cum se arat n Fig. 3.1 a. Se constat c oscilaiile se autoamorseaz,
deoarece modulul amplificrii de semnal mic este mai mare dect cel necesar pentru
susinerea oscilaiilor. Dac apare o perturbaie care afecteaz valoarea amplitudinii de
56
oscilaie, atunci amplitudinea revine la valoarea U
osc
dup dispariia perturbaiei. De
exemplu, dac perturbaia provoac mrirea amplitudinii de oscilaie, are loc micorarea
modulului amplificrii, astfel c amplitudinea tinde s scad, revenind la valoarea U
osc
.
Exist n acest caz o stabilitate dinamic a oscilaiilor, ilustrate pe grafic de sgeile care
converg n punctul de funcionare M, indicnd tendina de revenire n acest punct.
Dac variaia amplificrii cu amplitudinea semnalului nu mai este monoton, ca n
Fig. 3.1 b, exist dou puncte M
1
i M
2
care corespund unor regiuni de oscilaie din care
numai cel asociat punctului M
2
este stabil. Punctul M
1
nu corespunde unui regim de
oscilaie stabil, chiar dac amplificarea are o valoare egal cu cea cerut de relaia (3.10),
deoarece oscilaiile se sting dac U scade, sau cresc n amplitudine ajungnd la valoarea
U
osc
(abscisa punctului stabil M
2
). n acest caz autoamorsarea nu este posibil deoarece
amplificarea de semnal mic are o valoare prea redus pentru a compensa atenuarea
introdus de reeaua de reacie. Oscilaiile se pot amorsa prin excitare extern cu un
semnal de o frecven apropiat de cea proprie a oscilatorului i cu o amplitudine cel
puin egal cu abscisa punctului M
1
.
Dac este satisfcut condiia de autoamorsare, oscilaiile pornesc de la sine, prin
amplificarea zgomotului existent n circuit. n general amorsarea are loc odat cu regimul
electric tranzitoriu legat de conectarea circuitului la sursa de alimentare.
Oscilatoarele RC utilizeaz de regul ca amplificator de baz, amplificatoare cu
reacie negativ. Reacia negativ loc multiplu i anume :
- stabilizeaz amplificarea fcnd-o mai puin sensibil la condiiile de funcionare ;
- uureaz controlul amplificrii prin ncorporarea n bucla de reacie negativ a unui
dipol a crui rezisten depinde de amplitudinea de oscilaie, asigurnd astfel
limitarea amplitudinii de oscilaie la valoarea dorit ;
- idealizeaz amplificatorul, asigurnd funcionarea reelei de reacie pozitiv practic
independent de amplificator; deoarece aceast reea de reacie este de regul selectiv,
se obine o frecven de oscilaie practic independent de amplificator.
Prin aplicarea reaciei negative se obine o amplificare dependent exclusiv de
mrimea semnalului i o funcie de transfer a reelei de reacie dependent exclusiv de
frecven. n acest mod se poate regla frecvena oscilaiei fr a afecta amplitudinea
acesteia.
n unele cazuri rare reacia selectiv este cea negativ. Dup modul de realizare a
condiiilor de faz i amplitudine, oscilatoarele RC se mpart n dou categorii:
1) oscilatoare RC de tip I, la care amplificatorul defazeaz semnalul n domeniul
frecvenelor medii cu 180
0
, iar reeaua de reacie introduce in defazaj tot de 180
0
, astfel
nct
A+

=2; reacia este pozitiv i se asigur condiia de faz pentru funcionarea


oscilatorului. Este cazul oscilatoarelor cu reea de defazare RC i a oscilatoarelor cu reea
dublu T.
2) oscilatoare RC de tip II, la care nici amplificatorul, nici reeaua de reacie nu
introduc defazaje n domeniul frecvenelor medii, deci
A
+

=0, realizndu-se condiia


de faz pentru existena reacie pozitive. Este cazul oscilatoarelor cu reea Wien.
57
Este de remarcat c reelele Wien i dublu T prezint o caracteristic de
atenuare n funcie de frecven, ce are o form asemntoare cu cea a curbei de
selectivitate a circuitelor oscilante LC. De aceea, din acest punct de vedere, oscilatoarele
RC mai pot fi mprite n dou categorii : oscilatoare cu reele neselective (reele de
defazare) i oscilatoare cu reele selective (Wien i dublu T).
Se consider c n domeniul de frecven n care lucreaz oscilatorul,
amplificatorul de baz prezint o amplificare consistent i se neglijeaz efectul
capacitilor parazite de intrare i de ieire ale amplificatorului.
Reelele RC, de regul simple, pot fi utilizate cu amplificatoare de tensiune
sau de curent . Pentru a le analiza se considera ca lucreaz in condiii ideale sunt atacate
de generatoare ideale de curent sau de tensiune si lucreaz in gol sau in scurtcircuit .

3.2. Oscilatoare cu reea defazoare

Aceste tipuri de oscilatoare RC utilizeaz proprietatea filtrelor RC trece sus sau
trece jos de a introduce un defazaj cuprins intre 0 si 2t in banda de trecere .
In Figura 3.2. sunt date schema unei reele de defazare cu trei celule RC si
caracteristicile | (
0
/ e e ) , (
0
/ e e ) , unde Ar si sunt respectiv coeficientul de
transfer u
r
/ u
e
si faza semnalului care trece prin reeaua defazare .


Pentru reeaua din Fig. 3.2 se pot scrie urmtoarele ecuaii :
u
e
= ( )
2 1 1
1
i i R i
c j
+
e
;
( ) =
2 1
i i R
c je
1
2
i +R ( )
3 2
i i ;
R( )
3 2
i i =(R+
c je
1
)
3
i ;
r
u =R
3
i . (3.11)
Rezolvnd acest sistem de ecuaii cu necunoscutele u
e
,
2 1
, i i si
3
i
in raport cu
r
u , se obine :

( ) ( )
(

(
= =
RC
RC
j
RC
u
u
r
e
e
e e
|
6 1 5
1
1
3 2
. (3.12)
58
Pentru a avea un defazaj de
0
180 intre
e
u si
r
u este necesar ca partea imaginara
a relaiei (3.12) sa se anuleze , adic:

) (
0
6 1
.
3
.
=
RC
RC
osc
osc
e
e
(3.13)
De aici , reinnd numai soluia pozitiva si diferita de zero , se obine
urmtoarea expresie pentru frecventa de rezonanta , care va fi egala cu frecventa
oscilaiei sinusoidale generate de ctre oscilatorul RC:

RC
f
osc

=
6 2
1
.
t
(3.14)
nlocuind aceasta expresie in (3.12) se obine valoarea :
29
1
=
|
(3.15)
Deci pentru a asigura un regim autooscilant , este necesar ca amplificarea in
tensiune a amplificatorului de baza ce intra in compunerea oscilatorului , sa fie minimum
:
29
1
=

=
|
n
A (3.16)
Numai in acest caz reeaua de reacii RC furnizeaz semnalul de reacie la un
nivel suficient de mare pentru a ntreine oscilaiile .
Semnul minus din reacia din (3.15) arata necesitatea utilizrii unui amplificator
de baza .
Deoarece reeaua din Fig.3.2 , a, taie frecventele joase , acest tip de reea se
numete trece-sus . Daca se schimba intre ele elementele R si C ale reelei , se obine un
cuadripol similar , dar de tip trece-jos , caracterizat de:

RC
f
osc
t 2
6
.
= si 29 =
r
e
u
u
, (3.17)
si utilizat ca reea defazoare pentru domeniile de frecventa joasa ale oscilatorului.
In Figura 3.3. este prezentata schema unei variante de oscilator RC , cu reea
defazoare de tip trece-sus , formata din trei celule RC , reea conectata intre ieirea din
colector si intrarea unui etaj de amplificare in conexiune emitor comun , ceea ce asigura
ndeplinirea condiiei de faza continuata in relaia Barkhansen. Rezistenta Rr care
conecteaz ieirea reelei de reacie ( reeaua defazoare ) la intrarea amplificatorului ,
trebuie sa fie aleasa astfel nct rezistenta ultimei celule de defazare sa fie tot R ,
adic: R h R R R
e r
= +
11 2 1
. (3.18)
59


Rezolvnd ecuaiile lui Kirchhoff in schema echivalenta a oscilatorului obinuta
cu circuitul echivalent cu parametri hibrizi ai tranzistorului , si impunnd condiia
Barkhansen (3.8) , atunci se obine
condiia de amorsare a oscilaiilor :

) ( c R
R
R
c R
h R R
R R
h
ue
e
'
'
2 1
2 1
21
29 23 4 + + >
+
, (3.19)
unde :

Rc h
Rc
c R
e
+
=
22
'
1
(3.20)
Expresia (3.19) prezint un minim pentru 7 , 2
'
=
R
c R
, care corespunde la un
5 , 44
min
21
=
e
h .
Deci pentru a putea folosi reeaua defazoare cu trei celule RC este necesar ca
tranzistorul sa aib un factor de amplificare in curent 5 , 44
21
>
e
h . In cazul unor
tranzistoare cu valori mai mici ale lui
e
h
21
, fie ca se utilizeaz mai multe celule RC , fie
ca R si C nu se mai au aceeai valoare pentru toate celulele reelei .
Frecventa oscilaiilor amorsate in circuit se obine prin egalarea cu zero a parii
imaginare a condiiei de oscilaie . In cazul unei reele defazoare trece-sus , se obine | | 3 :

( ) RC R R c
f
osc
+
=
4 6 2
1
2
t
, (3.21)
iar pentru o reea de defazare trece-jos , se obine | | 3 :

C R
Rc R
f
osc
3
2
4 6
t
+
= . (3.22)
In scopul mririi stabilitii frecventei oscilaiilor generate de acest tip de oscilator
, reeaua de reacii defazoare nu este conectata direct la intrarea amplificatorului de baza ,
ci prin intermediul unui al doilea etaj , un repetor pe emitor , ca in Figura 3.4.
60


Figura 3.4

Astfel, reeaua defazoare este ncrcata cu impedana mare de intrare a etajului
repetor si ultima celula RC a reelei de defazare nu mai este scurtcircuitata de ctre
rezistenta de intrare
. int
R a tranzistorului
1
T . Sarcina oscilatorului va fi de asemenea
conectata la ieirea repetorului si acest fapt duce la creterea stabilitii frecventei cu
variaia sarcinii oscilatorului .
Pentru ca ultima celula RC a reelei de defazare sa lucreze corect , este necesar
ca R T R R R =
2 . int 3 3
.


3.3. Oscilatoare cu reea Wien

Vom considera cazul unui oscilator al crui amplificator de baza este un
amplificator de tensiune. Prin urmare , reeaua de reacie pozitiva , reeaua sau puntea
Wien , reprezentata in Figura 3.5.a., este atacata si citita in tensiune . Reelei Wien vzuta
ca un diport , i se aplica la poarta de intrare
'
1 1 tensiunea de ieire a amplificatorului
de baza
2
u , iar tensiunea de la poarta de ieire
'
2 2 , va fi aplicata ca tensiune de
intrare a amplificatorului de baza ,
1
u . Pentru a putea funciona corect , ieirea reelei
Wien trebuie sa lucreze in gol , practic pe o rezistenta de sarcina foarte mare ( rezistenta
de intrare a amplificatorului de tensiune ) .
Redesennd reeaua Wien ca in Fig.3.5.b. , se observa ca aceasta se comporta ca
un divizor de tensiune format din impedanele
1
Z si
2
Z care au expresiile :

1
1 1
1
1 1
1 1
C j
C R j
C j
R Z
e
e
e
+
= + = ; (3.23)

2 2
2
2
2
2
2
2
1
1
C R j
R
C j
R
C j
R
Z
e
e
e
+
=
+
= . (3.24)

61


Funcia de transfer a reelei Wien lucrnd in gol are expresia :
( )
( )
2 1
2
2 1
2
Z Z
Z
Z Z i
Z i
u
u
j
e
r
+
=
+

= = e | . (3.25)
nlocuind (3.23) si (3.24) in (3.25) se obine :

)
1
( 1
1
) (
1 2
2 1
1
2
2
!
C R
C R j
C
C
R
R
j
e
e
e |
+ + +
= . (3.26)
Daca reeaua Wien este utilizata ca un amplificator ideal de tensiune a crui
amplificare in tensiune este un numr real , atunci din condiia Barkhausen (3.8) rezulta
ca :
1 ) ( . = e | j A
u
. (3.27)
Daca amplificarea in tensiune
u
A a amplificatorului de baza este un numr real ,
atunci si
) ( e j W
F este numr real , ceea ce nseamn ca :

2 1 2 1
.
1 2 .
2 1 .
1 1
C C R R
C R CO
C R CO
osc
osc
osc
= = e , (3.28)
si frecventa de oscilaie este :

2 1 2 1
.
2
1
C C R R
f
osc
t
= . (3.29)
Amplificarea necesara pentru autontreinerea oscilaiilor are expresia :

1
2
2
1
0
1
) (
1
C
C
R
R
j
A
u
+ + = =
e |
. (3.30)
Acest tip de oscilator este utilizat in generatoare de audio-frecventa cu frecventa
variabila in limite largi. Este necesar ca atunci cnd se regleaz frecventa , rapoartele
2 1
/ R R si
1 2
/ C C sa ramn constante astfel se modifica valoarea lui
u
A , ceea ce are ca
efect modificarea amplitudinii oscilaiei generate . Din aceasta cauza se alege
R R R = =
2 1
si C C C = =
2 1
, astfel inct vom avea:

RC
f
osc
t 2
1
.
= si 3 1
1
2
2
1
= + + =
C
C
R
R
A
u
(3.31)
Deci amplificatorul de baza trebuie sa realizeze o amplificare in tensiune cel
puin egala cu 3 , iar faza tensiunii lui de ieire sa coincid cu cea a tensiunii de intrare ,
62
motiv pentru care acest tip de oscilator se mai numete si oscilator cu anularea fazei .
Pentru aceasta , se utilizeaz de regula doua etaje amplificatoare , cuplate in cascada ,
fiecare realiznd un defazaj de
0
180 .
In Figura 3.6. se prezint o varianta de oscilator in punte Wien , in care etajul
realizat cu
2
T joaca rolul generatorului de tensiune care ataca reeaua Wien .

Fig. 3.6

Amplificatorul este realizat cu tranzistoarele
1
T si
2
T cele doua etaje ale sale
fiind conectate in cascada prin cuplaj RC . Semnalul de intrare al amplificatorului se
obine de la ieirea unui etaj repetor pe emitor , realizat cu
3
T . Conectarea punii Wien
prin intermediul etajului repetor care prezint impedana mare de intrare , previne
ncrcarea punii Wien printr-un curent important si astfel se reduc la minimum
distorsiunile de amplitudine si de faza ale oscilaiei generate . Practic in acest fel se
asigura una din cele doua condiii ce decurg din necesitatea de a conecta puntea Wien la
un amplificator ideal de tensiune :
2 .
Z Z
ies
<
, unde
2
Z este dat de (3.24) .
Cealalt condiie
1 .
Z Z
ies
<< , unde
1
Z este dat de (3.23) , se ndeplinete alegnd o
valoare sczuta pentru
2
C
R .
Daca amplificarea in tensiune are o valoare prea mare , 3 >
u
A , limitarea
amplitudinii oscilaiilor nu se face suficient de repede si punctul de funcionare al
tranzistorului ptrunde prea mult in regiunile neliniare ale caracteristicilor statice , astfel
nct forma oscilaiilor generate se poate deprta mult de forma sinusoidala (vrfurile
alternantelor sunt aplatizate , are loc limitarea amplitudinii oscilaiei). Pentru a
prentmpina acest efect , se introduce in schema o reacie negativa care asigura in mod
automat o limitare a amplificrii , deci si a amplitudinii oscilaiei . In varianta de schema
din Fig.3.6. , reeaua de reacie negativa este formata din grupul
0
R si
0
C . Modificnd
valoarea rezistentei
0
R , se obine o modificare a amplitudinii oscilaiei generate . De
regula
0
R este formata dintr-o rezistenta reglabila si o rezistenta fixa a crei valoare este
aleasa astfel nct sa produc blocarea generrii oscilaiilor (prin scderea lui
u
A sub
valoarea 3) .
63
Oscilatoarele cu punte Wien pot fi realizate foarte simplu utiliznd
amplificatoare operaionale , deoarece acestea sunt amplificatoare de tensiune cu
performante foarte bune . Se obin oscilaii armonice in domenii de frecventa cuprinse
intre 1Hz si 100KHz sau chiar mai mult , in funcie de calitatea si performantele
amplificatorului operaional utilizat .
In Figura 3.7. este artata cea mai simpla si rspndit schema de oscilator
RC cu amplificator operaional.

Fig. 3.7.

Bucla de reacie pozitiva selectiva este formata din elementele reelei Wien
2 2 1 1
, C R C R si are rolul de a stabili frecventa de oscilaie .
Bucla de reacie negativa conine rezistentele
3
R si
4
R si servesc la stabilizarea
amplitudinii .
De valoarea rezistentei reglabile
4
R depinde amplitudinea oscilaiilor generate.
Daca R R R = =
2 1
si C C C = =
2 1
, atunci frecventa de oscilaie este data de (3.31.) .
Conform aceleiai relaii , condiia de oscilaie este ndeplinita daca:

4
4 3
3
R
R R
A
u
+
= = , adic pentru
4 3
2R R = (3.32)
Frecventa poate fi modificata continuu cu un poteniometru dublu sau in
trepte , prin corectarea capacitailor
1
C si
2
C .









64
















































65

4. Circuite de impulsuri

4.1. Generaliti

Impulsul este un semnal a crui forma de unda variaz prin salturi fata de un nivel
staionar sau cvasistaionar , durata salturilor fiind mult mai mica dect durata regimului
tranzitoriu de rspuns al circuitului , la intrarea cruia se aplica impulsul .
In Fig. 4.1. sunt reprezentate o serie de impulsuri video periodice ideale si anume
: tip meandre (a) , triunghiulare simetrice (b) , trapezoidale simetrice (c) , exponeniale
(d) , dreptunghiulare (e) , precum si impulsuri radio dreptunghiulare periodice , ideale (f)
.

Impulsurile radio se obine prin modularea unei oscilaii armonice cu ajutorul
impulsurilor video . In Fig.4.1. cu ti s-a notat durata impulsurilor , cu Tr perioada de
repetiie a acestora , cu tp timpul de palier , cu U amplitudinea impulsurilor iar cu
timpii de cretere respectiv descretere .
Cele mai folosite in practica sunt impulsurile video dreptunghiulare . Principalii
parametri ai impulsului video dreptunghiular singular sunt ilustrai in Fig. 4.2.
66

Amplitudinea se noteaz cu U si reprezint valoarea maxima la care ajunge
tensiunea in impuls .
Cderea de amplitudine pe palier reprezint variaia descresctoare maxima a
tensiunii pe durata palierului impulsului . Se noteaz cu U A si se apreciaz de obicei in
procente fata de amplitudinea U a impulsului .
Cderea de amplitudine postimpuls reprezint variaia descresctoare maxima a
tensiunii dup terminarea impulsului propriuzis , fata de nivelul staionar inferior . Se
noteaz cu
1
U A si de regula este egala cu cderea de tensiune pe palier .
Durata impulsului reprezint intervalul de timp in care semnalul depete un
nivel de referin
r
U dat de reea :
| | 1 , 0 ; e = r U r U
r
(4.1)
Durata impulsului astfel determinata se noteaz tir iar pentru
r =0,04 se asigura simplificarea unor relaii de calcul ulterioare .
Durata efectiva a impulsului se noteaz cu ti , corespunde valorii r = 0,5 si
reprezint durata unui impuls dreptunghiular ideal care are aceeai energie cu impulsul
dreptunghiular real din Fig. 4.2.
In continuare , prin durata impulsului se va nelege durata efectiva a acestuia .
Timpul de cretere al impulsului tc reprezint intervalul de timp in care semnalul
de la nivelul staionar minim la cel maxim , cu valorile aproximate la U r respectiv (1-
r)U .
In continuare , se va considera r = 0,04 caz in care timpul de cretere este dat de
relaia :
t t = = = k r k tc ; 04 , 0 ; (4.2)
Timpul de palier tp al impulsului este intervalul de timp in care semnalul in
impuls nu scade sub valoarea U U A .
Timpul de descretere td al impulsului este intervalul in care semnalul variaz de
la nivelul corespunztor sfritul palierului pana la nivelul staionar minim .
Puterea in impuls Pi este energia disipata pe durata acestuia :
I U
ti
Ei
Pi =
67
si este data de produsul amplitudinilor tensiunii si curentului .
Ca elemente active , circuitele de impulsuri pot folosi tuburi electronice ,
tranzistoare bipolare sau unipolare , tiristoare , etc. In acest capitol se vor analiza exclusiv
circuitele de impulsuri cu tranzistoare bipolare . Pe lng elementele de circuit active ,
circuitele de impulsuri conin si subcircuite pasive de ordinul I de tip RC sau RL .
In practica se ntlnesc aproape exclusiv circuite de ordinul I de tip RC.

4.2. Circuitele RC de ordinul I in regim de impulsuri

Deoarece conin un singur element de circuit reactiv (bobina sau condensator) ,
circuitele de ordinul I sunt descrise printr-o ecuaie difereniala de ordinul I cu coeficieni
reali , astfel:
) ( ) (
) (
t x t y
dt
t dy
= + t (4.3)
unde x(t) este semnalul de intrare (excitaia) , y(t) este semnalul de ieire (rspunsul ) iar
t este constanta de timp a circuitului .
Soluia de regim liber a ecuaiei (4.3) este de forma :

t
t
Ae t Ye

= ) ( (4.4)
unde A este o constanta de integrare iar
t
1
este soluia ecuaiei caracteristice .
In mod frecvent , excitaia este un semnal treapta :

<
>
=
0 0
0
) (
0
t
t X
t X

caz in care soluia de regim forat este data de relaia :

<
>
= =
0 0
0
) ( ) (
0
t
t X
t X t Y
f

Soluia globala a ecuaiei difereniale de ordinul I este :

t
t
f
Ae t Y t Y

+ = ) ( ) ( (4.5)
Din relaia de mai sus pentru = t se obine ) ( ) ( = Y Y
f
si (4.5) devine :

t
t
Ae Y t Y

+ = ) ( ) ( (4.6)
Cunoscnd valoarea iniiala Y(0) , din (4.6) pentru t=0 se obine valoarea
constantei de integrare :
) ( ) ( = Y t Y A
si in final soluia ecuaiei (4.3) devine :
| |
t
t
e Y Y Y t Y

+ = ) ( ) 0 ( ) ( ) ( (4.7)
In practica este necesar sa se determine intervalul de timp
1 2
t t t = A , in care
rspunsul circuitului evolueaz de la nivelul ) (
1
t Y la nivelul ) (
2
t Y .
Din (4.7) rezulta :
68
| |
t
2 , 1
) ( ) 0 ( ) ( ) (
2 , 1
t
e Y Y Y t Y

+ =
) ( ) 0 (
) 0 ( ) (
ln
2 , 1
2 , 1
t Y Y
Y Y
t


= t
i deci:

Dac se consider:
Folosind (4.8) se poate justifica relaia (4.2), astfel:
n Figura 4.3.a este reprezentat un circuit Rc de integrare pentru care avem succesiv:

Dac circuitul de integrare este excitat cu un semnal treapt (Fig.4.3.b). dat de:
rspunsul este dat de relaia (4.7):
Considernd c la momentul t=0, condensatorul este complet descrcat U
C
(0)=0, iar
la momentul t= el este complet ncrcat, U
C
()=U, se obine:
Rspunsul (4.10), al circuitului de integrare la un semnal treapt este redat n Fig. 4.3
c pentru dou valori i ale constantei de timp.
Integrala unuisemnal treapt este un semnal ramp.
Circuitul din Fig. 4.3a se apropie de un integrator ideal atunci cnd constanta de timp
=RC are valori foarte mari , caz n care condensatorul C se ncarc cvasiliniar.
Din (4.9) rezult c timpul de cretere al rspunsului de la 0,4U la 0,96U este:
n domeniul frecven, circuitul de integrare se conport ca un FTJ. ntr-adevr, prin
atenuarea frecvenelor nalte din spectrul semnalului treapt, se elimin saltul instantaneu
al acestuia de la 0 la U iar rspunsul u
2
(t) prezint o cretere mult mai lent ntre cele
(4.8)
) ( ) (
) ( ) (
ln
2
1
1 2
t y y
t y y
t t t


= = A u
)] 0 ( ) ( [ 96 , 0 ) 0 ( )
2
(
)] 0 ( ) ( [ 4 , 0 ) 0 ( )
1
(
y y y t y
y y y t y
+ =
+ =
(4.9)
4 , 0
96 , 0
ln u u H ~ = At
RC t u t u
dt
t du
t u t
C
u
dt
t
C
dU
C t
C
i t i
R t i
R
U t u t
C
U t
R
U
= = +
= = =
= = +
u u ); (
1
) (
2
) (
2
) (
2
) ( ;
) (
) ( ) (
) ( ); (
1
) ( ) (
)
`

>
=
0 , 0
0 ,
) (
1
t
t U
t u
u
t
C C C C
e U U U t u t u

+ = = )] ( ) 0 ( [ ) ( ) ( ) (
2
(4.10) ) 1 ( ) ( ) (
2
u
t
C
e U t U t U

= =
(4.11)
inc
, RC
des
c
t = = = H ~ u u u
69
dou nivele. Prin analogie cu Fig. 1.9.c, circuitul de integrare poate fi considerat ca o
semicelul Rc de filtrare trece jos.
Figura 4.3
Dac excitarea circuitului de integrare se face cu impulsuri dreptunghiulare
(Fig. 4.3.d) rspunsul (Fig. 4.3.f) se obine aplicnd principiul superpoziiei (impulsul
dreptunghiular de la intrare se descompune ntr-o sum de semnale treapt decalate n
timp cu t
i
i de polaritate diferit).
Pentru o durat t
I
dat a impulsurilor, circuitul are efect integrator dac:

n plus, pentru a nu se realiza deplasarea nivelului componentei continue la ieire,
trebuie ca:
ceea ce permite descrcarea complet a condensatorului n pauza dintre impulsuri.
Amplitudinea maxim a semnalului de ieire rezult din (4.10):
Circuitul de integrare realizeaz deci o lrgire a impulsurilor dreptunghiulare i o
reducere a amplitudinii acestora.
n circuitele de impulsuri, timpii de cretere i de scdere ai semnalelor n impuls sunt
determinai de efectul integrator al capacitilor parazite dispuse n paralel pe calea de
semnal.

i
t > Hu
(4.12)
i
t
r
T s Hu
) 1 (
2
u
i
t
M
e U U =

inc
, RC
des d
t = = = H ~ u u u
70

n Fig. 4.4.a este reprezentat un circuit RC de derivare (difereniere) pentru care semnalul
de ieire se culege de pe rezistena R:

U
2
(t)=U
R
(t)=U
1
(t)-U
C
(t)

Tensiunea pe condensator este dat de (4.10) iar excitaia este un semnal treapt i deci:
Rspunsul circuitului de derivare la un semnal treapt este reprezentat n Fig. 4.4.c
pentru dou valori i ale constantei de timp.
Fig. 4.4

Derivata unui semnal treapt este un impuls Dirac ponderat cu valoarea
discontinuitii din origine U(t). Circuitul din Fig.4.4.a se apropie de un circuit de
derivare ideal pentru valori foarte mici ale constantei de timp
=RC.
Timpul de descretere al rspunsului U
2
(t) de la 0,96U la 0,4U este:
n domeniul frecven circuitul de derivare se comport ca un F.T.S. Dac excitarea
circuitului se face cu impulsuri periodice dreptunghiulare (Fig. 4.4.d) rspunsul se obine
tot prin aplicarea principiului superpoziiei. Pentru a rmne un circuit de derivare i n
regim de impulsuri, trebuie ndeplinit condiia:
u
t
Ue t
R
U t u

= = ) ( ) (
2

inc
, RC
des d
t = = = H ~ u u u
71
Pentru a se evita deplasarea nivelului n curent continuu trebuie ndeplinit condiia
(4.12).n final, constanta de timp a circuitului de derivare este limitat de relaia:
Circuitul de derivare realizeaz o ngustare a impulsurilor dreptunghiulare pe care le
transform n impulsuri bipolare cu amplitudini i durate egale (fi. 4.4.e).
Dac
circuitul din Fig.4.4.a devine un circuit de cuplaj de tip RC. Deoarece circuitul de cuplaj
este destinat s elimine componenta de curent continuu (Fig.4.4.f) trebuie ca >Tr- ti.
Constanta de timp a circuitului de cuplaj trebuie deci s ndeplineasc condiia:
>max{ti,Tr- ti}.
Cderea de amplitudine pe palier U reprezint nivelul de tensiune la care se ncarc
condensatorul C pe durat ti i conform (4.10) se obine:
Mrimea U reprezint distorsiunea introdus de circuitul de cuplaj Rc i poate fi
micorat prin creterea capacitii condensatorului de cuplaj n condiiile n care
rezistena R este de obicei dat.
n circuitele de impulsuri, efectul de derivare i distorsiunile datorate cderii de
tensiune pe palier sunt produse de condensatoarele dispuse n serie pe calea de semnal
(condensatoare de cuplaj).

4.3. Tranzistorul bipolar n regim de comutaie

La funcionarea n regim de impulsuri tranzistorul bipolar se poate gsi ntr-una din
urmtoarele stri:
- blocri, n care curentul de colector I
C
este foarte mic, practic egal cu zero, iar
tensiunea U
CE
are valori apropiate de tensiunea de alimentare E
C
;
- conducie, n care curentul de colector I
C
are valori mari iar tensiunea U
CE
este
redus (n cele mai multe cazuri tranzistorul este n saturaie);
- comutare direct, n care curentul de colector I
C
crete rapid iar tranzistorul trece
din stare de conducie n stare de blocare.
Cea mai simpl schem de circuit de comutaie cu tranzistor bipolar este reprezentat
n Fig. 4.5a. iar n Fig.4.5b. sunt redate caracteristicile de ieire ale unui tranzistor bipolar
n montaj EC i dreapta de sarcin.
Regiunea de blocare corespunde situaiei n care ambele jonciuni ale tranzistorului
sunt polarizate invers i este cuprins ntre caracteristica corespunztoare la I
B
=0 i
abscis.
Regiunea activ normal corespunde situaiei n care jonciunea B-E este polarizat
direct i jonciunea B-C invers i este dispus ntre regiunile de blocare i respectiv de
saturaie, haurate n Fig.4.5b.
i
t s Hu
} , min{
i r i
t T t s Hu
i
t s Hu
RC
i
t
e U U = = A u
u
), 1 (
72
Regiunea de saturaie corespunde situaiei n care ambele jonciuni ale tranzistorului
sunt polarizate direct i este delimitat de o dreapt paralel cu ordonata corespunztoare
tensiunii U
CES
, numit i tensiunea de saturaie incipient.
n saturaie U
CE
<U
BE
, valorile tipice ale acestor tensiuni, la limita de saturaie pentru un
tranzistor npn cu SI fiind U
CES
= 0,3V i U
BES
=0,7V.
Curentul de colector la limita de saturaie este dat de relaia:
n saturaie curentul de colector nu mai urmrete creterea curentului de comand
din baza tranzistorului deci:
de unde, innd cont de (4.14.), rezult:
Ultima relaie reprezint un criteriu de saturaie a tranzistorului bipolar. Punctul static
de funcionare M
1
al tranzistorului se alege n regiunea de blocare, pentru consum minim
de energie n stare neexcitat. n regim de comutare normal, amplitudinea impulsului
pozitiv de intrare determin deplasarea punctului de funcionare n M
2
, pe caracteristica
I
B
<I
BS
, n regiunea activ normal.
n regim de comutaie forat, tranzistorul comut din blocare n saturaie, ntre
punctul M
1
i punctul M
4
dispus pe caracteristica I
B
>I
BS
. Pentru aceasta, amplitudinea U
in

a semnalului n impuls de la intrare (Fig.4.5c.), trebuie s fie suficient de mare ca s
determine un curent de baz I
B
<I
BS
E/R
C
.
Curentul de baz I
B
ia valoarea I
B
cu o ntrziere t
b
fa de momentul aplicrii
excitaiei, datorit descrcrii capacitilor de barier ale celor dou jonciuni, polarizate
invers n starea iniial de blocare a tranzistorului ( la polaritate invers capacitatea unei
jonciuni este practic egal cu capacitatea de barier).
La rndul lui, curentul de colector I
c
ncepe s creasc cu o ntrziere t
df
necesar
pentru difuzia purttorilor de sarcin injectai n baz. Aceast ntrziere poate fi
interpretat ca fiind timpul necesar pentru ncrcarea capacitaii de difuzie a jonciunii B-
E polarizat direct (la polarizare direct capacitatea de barier devine neglijabil n raport
cu cea de difuzie). Suportul fizic al creterii curentului de colector la valoarea I
C
este dat
de sarcina acumulat n baz, din care acesta se formeaz. Timpul de cretere t
c
al
curentului de colector este necesar pentru acumularea sarcinii n baz i determin
caracterul integrator al tranzistorului bipolar fa de excitaie.
Se numete grad de saturaie i se noteaz cu S, raportul dintre valoarea maxim a
curentului de baz i valoarea maxim a curentului de baz corespunztoare zonei de
saturaie incipient:
(4.14)
C
C
C
CE C
C
R
E
R
U E
I
S
S
~

=
B
I
C
I | s
(4.15)
C
R
C
E
B
I
|
>
1 > =
BS
B
I
I
S
73
n [13] se arat c timpul de cretere t
c
la comutaia forat este:
unde
e
reprezint constanta de timp echivalent ce caracterizeaz efectul integrator al
tranzistorului de combatere normal.
Din (4.16) rezult scderea timpului de cretere atunci cnd se mrete gradul de
saturaie al tranzistorului.
Timpul necesar comutrii directe a tranzistorului este:
deoarece t
b
i t
df
au valori uzuale de ordinul nanosecundelor.
Fig.4.5.
n comutare direct forat punctul dinamic de funcionare parcurge segmentul M
1
M
4

pe dreapta de sarcin n baz. Din aceast cauz scderea curentului de baz nu determin
scderea imediat a curentului de colector.



(4.16)
1
ln

=
S
S
t
e c
u
(4.17)
c c df b cd
t t t t t ~ + + =
74
n acest interval de timp , punctul dinamic parcurge segmentul M
4
M
3
pe dreapta de
sarcin iar curentul de colector scade foarte puin. n [15] se arat c timpul de stocare t
s

se reduce atunci cnd curentul de comand i
B
devine negativ, proporional cu mrimea
saltului negativ al acestuia. Timpul de descretere t
d
al curentului de colector este necesar
evacurii sarcinii stocate n baz i poate fi redus n acelai fel ca t
s
.
Timpul de comutare invers este deci:



Diagramele de timp din Figura 4.5. indic faptul c circuitul de comutaie din Fig.
4.5 poate fi considerat un amplificator de impulsuri care determin ns o lrgire a
impulsului de ieire fa de cel de intrare datorit timpilor de comutare t
cd
i t
ci
. OO cale
de reducere a timpilor de comutare (Fig.4.6.a) const n introducerea unei surse negative
de polarizare care reduce t
ci
i a unei surse negative de polarizare care reduce t
ci
i a unui
grup RC numit de accelerare care reduce t
cd
prin creterea gradului de saturaie S.
La momentul aplicrii excitaiei, condensatorul C scurtcircuiteaz rezistena R i
curentul de comand are valoarea I
B1
>I
BS
, ceea ce reduce timpul de cretere t
c
al
curentului de baz ar crete timpul de stocare t
s
dar la ncrcarea condensatorului curentul
de baz se reduce i ajunge la valoarea I
BS
corespunztoare zonei de saturaie incipient
atunci cnd C este complet ncrcat i nu mai scurtcircuiteaz rezistena R. La comutarea
invers, a tranzistorului, prin descrcarea condensatorului se asigur un salt negativ I
B2

al curentului n baz care reduce att timpul de stocare I
BS
ct i timpul de descretere.
(4.18)
d S ci
t t t + =
75
Reducerea n principal a timpului de comutaie invers t
ci
se poate obine cu circuitul
din Figura 4.6d care evit intrarea n saturaie a tranzistorului printr-o reacie negativ
neliniar.
Rezistena R se calculeaz astfel nct atunci cnd i
B
atinge valoarea I
BS

corespunztoare intrrii n saturaie dioda D s se deschid i s limiteze curentul de
baz. Pentru acesta tensiunea pe rezisten trebuie s fie mai mare dect cderea de
tensiune pe diod:

unde U
D
se determin din caracteristica static a diodei pentru

I
D
=I
in
- ( I
BS
+ I
1
). n acest
fel. La comutarea direct, tranzistorul se menine la limita zonei de saturaie (punctul M
3

de funcionare din Figura 4.5b).

4.4. Circuitul basculant bistabil

n cadrul circuitelor de impulsuri, o pondere important o dein circuitele basculante.
Acestea sunt circuite de comutaie caracterizate prin dou stri de echilibru, formate din
dou etaje de amplificare n impuls conectate n cascad i prevzute cu o bucl de
reacie.
Circuitele basculante pot fi realizate n tehnologie discret (folosit n prezent pe scar
redus) sau n tehnologie integrat.
Circuitul basculant bistabil (CBB) este caracterizat prin aceea c ambele stri de
echilibru sunt stabile i n consecin, comutarea ntre cele dou stri stabile se face doar
la o comand extern. n Fig. 4.7a se prezint cel mai simplu CBB obinut prin
conectarea n cascad a dou etaje de amplificare n impuls inversoare, de tipul celui din
Fig. 4.5a. Cuplajul ntre etaje este rezistiv iar bucla de reacie pozitiv se realizeaz prin
conectarea ieiri celui de-al doilea etaj la intrarea primului. Caracteristica de transfer a
amplificatorului n lipsa reaciei este reprezentat cu linie continu.
Pentru u
1
<u
1
tensiunea n baza lui T
1
este sub nivelul de deschidere, acesta este
blocat i tensiunea sa mare din condensator l menine pe T
2
n saturaie iar u
2
are o
valoare redus. Pentru u
1
<u
1
<u
1

, T
1

comut din blocare n conducie, tensiunea sa n


colector scade i produce intrarea n blocare a lui T
2
iar u
2
va crete. Pentru u
1
>u
1

, T
1

este saturat, T
2
este blocat i u
2
are o valoare apropiat de E
C
. nchiderea buclei de reacie
implic u
1
=u
2
, locul geometric al punctelor care ndeplinesc aceast condiie fiind dreapta
reprezentat punctat n Fig. 4.7.b. Din cele trei puncte de intersecie astfel obinute, doar
A corespunztor strii T
1
- blocat i T
2
saturaie i C (T
1
conducie i T
2
- blocat) sunt
stabile. n Fig.4.8.a. se prezint schema modificat topologic a CBB simetric, prevzut cu
intrrile de comand n raz iar n Fig.4.8.b. digramele de funcionare ale acestuia. S-a
considerat starea iniial a CBB ca fiind T
1
blocat i T
2
saturat. La aplicarea unui
impuls de comand pozitiv n baza lui T
1
cu amplitudinea suficient cu tensiunea n baz
s depeasc nivelul de prag de deblocare, T
1
intr n conducie, tensiunea lui scade,
scderea tensiunii u
c1
se transmit n baza lui T
2
care iese din saturaie.
Tensiunii u
C2
se transmite in baza lui T
1
si determina deschiderea si mai
accentuata a acestuia astfel inct se formeaz un ciclu regenerativ care determina
comutarea CBB in stare stabila: T
1
- saturaie,T
2
- blocare. In regim de blocare tensiunea
din colectorul lui T
2
este apropiata de E
C
si menine pe T
1
in conducie si dup terminarea
impulsului de comanda din baza lui T
1
.
D BS
U I I R > + ) (
1
76
Bascularea CBB impune ca durata impulsului de comanda sa acopere timpul necesar ca
T
1
sa comute direct ca T
2
sa comute invers:
t
ic-da
>t
cd
+t
ci
Revenirea CBB la starea stabila iniial se realizeaz printr-un ciclu regenerativ
asemntor la aplicarea unui impuls de comanda pozitiv in baza lui T
2











Figura 4.8.

Pentru a asigura funcionarea CBB din figura 4.8.b este necesar sa fie ndeplinite
condiiile de saturaie si de blocare ale tranzistorelor T
1
si T
2
.
Fiind data tensiunea de alimentare E
C
si alegnd punctul
M
3
(U
CES
,I
CS
)din figura 4.5.bca externa superioara curbei dinamice , se poate determina
rezistenta de colector:
Unde s-a inut seama ca U
CES
~ 0,3V este neglijabila in raport cu tensiunea de alimentare.
Curentul de baza al tranzistoarelor este:
Deoarece valoarea maxima de 0,7Vla saturaie , a tensiunii U
BE
este neglijabila in raport
cu E
C
.
nlocuind relaia de mai sus in (4.15) se obine condiia de saturaie :
R
B
s(|-1)R
C
(4.21)
Rezistenta R
B
se determina din (4.21) considerat la limita, pentru a asigura funcionarea
in zona incipienta de saturaie.
Deoarece in saturaie tensiunea de colector este 0,3V, tensiunea aplicata prin R
B

in baza celuilalt tranzistor respecta condiia de blocare.
Amplitudinea impulsurilor culese de pe colectoare este

iar pentru schema din figura 4.8a rezulta:
(4.20)
CS
C
CES
CES C
C
I
E
I
U E
R ~

=
C B
C
C B
BE C
B
R R
E
R R
U E
I
+
~
+

=
CB CS CB C
U U U U ~ = A
(4.22)
C B
B
C
R R
R
U
+
~ A
77
In figura 4.8c se prezint cu titlu informativ o schema de CBB cu timpi de
comutaie redui obinute prin conectarea la cascada, cu bucla de reacie polara, a doua
etaje de amplificare de tipul celui din 4.6a. In principal CBB se folosete ca element de
memorie in sistemele binare in care numerele 0 si 1 sunt echivalente cu strile de
conducie sau blocare in care se poate afla un tranzistor in regim de comutare. n logica
pozitiva, palierul superior al unui impuls se asociaz cifrei 1 (corespunde nivelului u
c
al
tensiunii de colector pentru un tranzistor blocat) iar palierul inferior al impulsului se
asociaz cifrei 0(corespunde nivelului u
c
pentru un tranzistor aflat in conducie)Pentru a
amplifica analiza diferitelor tipuri de CBB s-a realizat o standardizare a acestora. Astfel
CBB in figura 4.8 este un bistabil RS iar tabelul de funcionare numit si tabelul de
adevr este redat in fig. 4.8 d. Cele doua intrri de comanda numite si intrri de date notat
cu R (iniiala de la RESET - tergere) si S (iniiala de la SET iniializare)iar cele doua
ieiri in anti faza s-au notat Q si (Q negat)
Tabelul de adevr ilustreaz in mod sintetic funcionarea CBB caracterizata in
detaliu de diagramele de impuls din figura 4.8b.
ntr-adevr, dac CBB se gsete la momentul n n starea 0 , 1 = =
n n
Q Q (T
1

blocat, T
2
- conducie) i se aplic un impuls la intrarea R (R=1, S=0), acesta basculeaz
la momentul n+1 n starea 1 , 0
1 1
= =
+ + n n
Q Q . Circuitul bistabil aflat la momentul n n
starea Q
n
=0, comut n starea Q
n+1
=1 dac se aplic un impuls pe intrarea S (R=0, S=1).
n sfrit, starea CBB rmne nemodificat, Q
n+1
=Q
n
dac R=0 i S=0. Comanda
simultan pe ambele intrri, R=1, S=1 nu este permis deoarece s-ar fora intrarea n
conducie a ambelor tranzistoare 0 , 0 = =
n n
Q Q , ceea ce nu este posibil datorit buclei de
reacie pozitive i starea CBB va fi nedeterminat (fie 1 , 0 = =
n n
Q Q , fie 0 , 1 = =
n n
Q Q ).
Aa cum se arata in capitolul 6, funciile logice elementare NU,SI, SAU, SI i
SAU se implementeaz cu ajutorul porilor logice ale cror simboluri i tabele de adevr
sunt cele din figura 4.9.


Fig. 4.9.
Folosind pori logice realizate in tehnologie integrata se poate obine o mare
varietate de circuite basculante bistabile. astfel bistabilul de tip RS poate fi obinut
78
utiliznd poarta SAU aa cum ne indica in figura 4.10a. tabelul de adevr (figura
4.10b)coincide cu cel al CBB din figura 4.8a.

Fig. 4.10.
Intr-adevr, conform tabelului de adevr al funciei SAU din figura 4.9e
rezulta:pentru R=1 poarta 1 este forata in Q=0 indiferent de starea celeilalte intrri iar
pentru S=0 poarta 2 are ambele intrri in 0 si deci. Q=1; pentru S=1 poarta 2 este forata
in Q=0 indiferent de starea celeilalte intrri iar pentru R=0 poarta 2 are ambele intrri in
0 si Q=1; pentru R=0 si Q
n
=0 poarta 2 are ambele intrri in 0 si deci
1 + n
Q =
n
Q =0 porta 1
cu intrrile 0 si 1, deci va avea ieirea
1 + n
Q =
n
Q =0; pentru R=0 si S=0 si Q
n
=1 poarta 2
are intrrile in 1 si 0, deci
1 + n
Q =0 iar poarta 1 cu ambele intrri in 0 i menine ieirea in
1 + n
Q =
n
Q =1; pentru R=1 si S=1 ieirile ambelor pori sunt forate in 0 de aceea aceasta
combinaie la intrare nu este admisa .
Prin folosirea porilor SI se obine un bistabil de tip RS (figura 4.10c). Tabelul de adevr
din figura 4.10b a acestui bistabil si notarea intrrilor cu R si S , indica faptul ca
modificarea ieirilor se produce atunci cnd una dintre ele este 0 si nu 1 ca in cazul
schemei anterioare iar combinaia interzisa la intrare este acum R = S =0.Pentru
simplificare, bistabilele de tip RS se reprezint simbolic ca in figura 4.10e. Circuitele
basculante ca in figura 4.10 se numesc asincrone si se caracterizeaz prin aceea ca
modificarea intrrilor determina in mod necondiionat modificarea ieirilor.
In figura 4.11a se prezint un bistabil RS sincron. Pe lng intrrile date de R si S, acesta
este prevzut cu o intrare de tact T care controleaz momentul in care datele aplicate la
intrare sunt transferate la ieire . La intrarea de tact se aplica impulsuri dreptunghiulare
periodice care asigura funcionarea bistabilului in mod sincron cu alte circuite logice in
cadrul unui sistem.
Bistabilul RS sincron se obine prin completarea schemei RS asincrone cu doua
pori SI ale cror intrri R si S sunt validate de semnalul de tact atunci cnd T=1, caz in
care la ieirea porilor 1 si 2 se obin comenzile R si S . In plus prin folosirea porilor 3 si 4
de tip SI cu trei intrri se realizeaz doua intrri de comanda asincrone
A
R si
A
S ,
active cnd sunt in 0 si care comanda ieirile indiferent de prezenta sau nu a impulsurilor
de tact. Tabelul de adevr al bistabilului RS sincron este cel din figura 4.10b iar simbolul
corespunztor este reprezentat in figura 4.11b.
79

Fig. 4.11.

O categorie importanta de bistabile o formeaz cele cu structura stpn-sclav
(master-slave). Ele sunt formate din doua circuite basculante sincrone, conectate in
cascada si comandate in antifaza. In continuare, pentru acest tip de CBB se va utiliza
terminologia bistabil MS.In figura 4.11c este reprezentata schema unui bistabil MS de
tip RS (porile 1,2,3 si 4 formeaz bistabilul RS sincron de comanda sau stpn, porile
5,6,7 si 8 formeaz bistabilul RS sincron comandat sau sclav, iar inversorul 9 asigura
comanda in antifaza a celor doua bistabile. Impulsul de tact (figura 4.11d) acioneaz
asupra bistabilului in patru timpi: la momentul unu porile 5 si 6 se blocheaz (T =0)si
ieirile bistabilului stpn sunt izolate de intrrile bistabilului sclav; la momentul 2 porile
1 si 2 se deschid (T=1)si informaia de la intrrile R si S se transfera la ieirea bistabilului
stpn; la momentul 3 porile 1 si 2 se blocheaz si bistabilul stpn se izoleaz de
intrrile sale date iar la momentul 4 porile 5 si 6 se deschid si informaia de la ieirile
bistabilului stpn se transfera la bistabilul sclav. Fata de bistabilul RS asincron simplu,
bistabilul de tip MS asigura o mai buna protecie mpotriva comutrilor parazite datorate
prezentei perturbaiilor.
Aa cum rezulta din tabelul de adevr din figura 4.10b, principalul dezavantaj al
bistabilului RS este necesitatea evitrii combinaiei R=S=1l a intrare. Acest dezavantaj
este eliminat de bistabilul JK(J-corespunde intrrii S si provine de la JAM - foreaz iar
K-corespunde lui R si provine de la KEEP - retine).In figura 4.12a este reprezentata
schema unui bistabil JK asincron realizat cu pori SI Tabelul de adevr al bistabilului JK
este reprezentat in figura 4.12b si arata ca atunci cnd la intrare apare combinaia J=K =1,
interzisa anterior, starea bistabilului se schimba in Q
1 + n
=
n
Q . Aceasta se poate verifica
uor folosind schema bistabilului JK si tabelul de adevr al funciei logice SI din figura
4.9d. Simbolul bistabilului asincron este cel din figura 4.12c.
80

Fig. 4.12.

La fel ca bistabilul RS si bistabilul JK poate fi realizat in structura sincrona sau de
tip MS avnd eventual si intrri de comanda asincrone. Un tip de bistabil sincron folosit
in practica ca element de memorie tampon in sistemele de afiaj, este bistabilul D
(provine de la DELAY - ntrziere). Acest tip de bistabil are o intrare de tact T si o
singura intrare de date, notata cu D si care este reprodusa la ieire pe durata impulsului
de tact T=1, conform tabelului de adevr din figura 4.12e . Bistabilul D (figura 4.12d)
poate fi obinut dintr-un bistabil RS sincron(figura 4.11a) fr intrri de comanda
asincrone, comandat in antifaza pe intrrile de date S=d i D R = ,
deoarece cnd T=1 ieirea porii 1 este D. Simbolul bistabilului D este cel din figura 4.12f
. In literatura tipul de bistabil D din figura 4.12d se mai numete i bistabil lateh (zvor).
Bistabilul de tip T(provine de la TOOGLE - comutator) nu este disponibil ca atare
dar poate fi realizat prin intermediul altor tipuri de bistabili. Bistabilul T asincron (figura
4.12g) se obine comutnd mpreun intrrile de date J si K si obinnd astfel intrarea de
date T. Conform tablei de adevr din figura 4.12b pentru T=0, bistabilul i menine
starea Q
n+1
=Q
n
, iar pentru T=1, bistabilul comut
n n
Q Q =
=1
. Varianta sincrona a
bistabilului T obinut din bistabilul JK sincron este reprezentata in figura 4.12h.
Bistabilul T sincron comuta la aplicarea fiecrui impuls de tact aplicat la intrare.
Bistabilul T este folosit in principal la realizarea numrtoarelor binare.

4.5. CIRCUITUL BASCULANT MONOSTABIL

Circuitul basculant monostabil (CBM) este caracterizat prin aceea ca una dintre
strile de echilibru este stabila iar cealalt este cvasistabil .
Comutarea din starea stabila in cea cvasistabil se realizeaz doar la o comanda
externa iar revenirea in starea stabila se face dup o durata de timp determinata exclusiv
de parametrii interni ai CBM.
O schema simpla de CBM (figura 4.13a) poate fi obinuta din schema CBB
reprezentata in figura 4.7a prin realizarea unui cuplaj capacitiv intre T
1
si T
2
si conectarea
rezistentei R
B2
intre baza lui T
2
si sursa de alimentare cu rol de rezistenta de temporizare.
In figura 4.13b este prezentata schema, modificata topologic, a monostabilului
prevzut cu intrare de comanda in baza lui T
1
. Starea stabila a schemei corespunde
saturrii lui T
2
. Valoarea sczuta a tensiunii din colectorul lui T
2
in saturaie asigura
81
transmiterea prin R
B1
in baza lui T
1
a unei tensiuni suficient de mici ca acesta sa fie
blocat.


Fig. 4.13.

La aplicarea pe baza lui T
1
a unui impuls pozitiv de comanda a crui durata sa
ndeplineasc condiia (4.19) acesta se deschide si declaneaz un proces tranzitoriu in
avalan care provoac bascularea monostabilului in starea cvasistabil ( T
1
in conducie,
T
2
blocat). Saltul negativ de tensiune din colectorul lui T
1
aflat in conducie se transmite
prin condensatorul C (acesta elimina componenta continua a tensiunii U
C1
) in baza lui T
2

pe care l blocheaz. Starea cvasistabil dureaz att timp cat tensiunea in baza lui T
2

(care creste datorita ncrcrii condensatorului C de la E
C
prin R
B2
si prin tranzistorul T
1

aflat in conducie la masa ) nu depete pragul U
P
de conducie. La atingerea acestui
prag T
2
se deschide si monostabilul revine un starea de avalan in starea stabila iniiala.(
T
1
blocat, T
2
.conductie). Saltul pozitiv de tensiune din colectorul lui T
1
aflat in blocare se
transmite prin C in baza lui T
1
si determin o supra cretere a tensiunii U
B2
la momentul
t
2
.Prin ncrcarea condensatorului C de la E
C
prin R
C1
si jonciunea B-E a lui T
1
aflat in
conducie, tensiunea U
B2
revine la valoarea de saturaie incipienta. Rezistenta de colector
a tranzistorului T
2
se determina din relaia 4.20:
Pentru ca, n starea stabila, T
2
sa fie in saturaie, trebuie ndeplinita condiia
(4.15):
in care:
CS
C
C
I
E
R ~
2
2
2
C
C
B
R
E
I
|
>
2 2
2
B
C
B
BE C
B
R
E
R
U E
I ~

=
82
In final, condiia de saturaie a lui T
2
devine:
2 2
C B
R R | s

Rezistenta R
B2
se determina din (4.23) considerat la limita pentru a se asigura
funcionarea in zona incipienta de saturaie.
Pentru ca in starea cvasistabil tranzistorul T
1
sa fie in saturaie trebuie ndeplinita
condiia(4.15):
in care:
In final condiia de saturaie a lui T
2
devine:
Rezistenta R
C1
din colectorul lui T
1
se determina tot din relaia (4.20). Diagramele
din figura (4.13c) arata ca CBM furnizeaz impulsuri cu durata data la aplicarea unui
impuls de comanda. Durata t
i
a impulsului de ieire este proximatic egala cu intervalul de
timp t
2
-t
1
. De ncrcare a condensatorului C cu constanta de timp
(ncrcarea lui C este ntrerupta de deschiderea lui T
2
)
Folosind relaia (4.8) rezulta:
unde:
Durata aproximativa a impulsului format de monostabil:
Depinde deci exclusiv de circuitul temporizare format din C si R
B2
.
Amplitudinea impulsului din colectorul tranzistorului T
1
este
deoarece curentul de colector I
Cb
al lui T
1
aflat in blocare si tensiunea in colector la
saturaie U
CS
sunt neglijabile.
Amplitudinea impulsului din colectorul T
2
este:
(4.23)
2 2 C B
R R | s
1
1
C
C
B
R
E
I
|
>
2 1 2 1
1
B B
C
C B
BE C
B
R R
E
R R
U E
I
+
~
+

=
(4.24)
2 1 1 C C B
R R R s |
2 B
CR ~ o
) ( ) (
) ( ) (
ln
2 2 2
1 2 2
t u u
t u u
t
B B
B B
i


~o
p B C C BS B C B
u t u E E u t u E u = ~ = = ) ( iar ) ( , ) (
2 2 1 2 2
(4.25) 7 , 0 2 ln
2 B i
CR t ~ ~o
C CS Cb C C
E U RI E U ~ = A
1
83
unde s-au neglijat pe rnd tensiunea din colectorul lui T
2
la saturaie si tensiunea din baza
lui T
1
la blocare, dei amplitudinea este puin mai mica dect in colectorul lui T
1
cu
semnal de ieire al CBM se prefera impulsul din colectorul lui T
2
deoarece are timpi de
comutaie mai redui. Aceasta se datoreaz formei tensiunii din baza u
B2
si prezint un
salt negativ puternic care reduce t
Ci
si o cretere pozitiva ce depete u
BS
care reduce t
cd
.
Circuitul monostabil este utilizat ca formator de impulsuri cu durata data, ca
circuit de ntrziere al impulsurilor pe un interval de timp dat sau realizarea modulaiei in
durata a impulsurilor.
Funcionarea normala a CBM din figura 4.13b impulsul ca durata impusului de
comanda sa fie mai mica dect durata impulsului format de monostabil (t
cda
<t
i
) in caz
contrar impulsul de comanda se aplica in baza lui prin intermediul unui circuit de
difereniere RC (figura 4.4)
Circuitele basculante monostabile pot fi obinute si prin folosirea porilor logice
realizate in tehnologie integrata. n figura 4.14b sunt redate diagramele de timp
corespunztoare unui CBM realizat cu doua pori SI (figura 4.14a)


Fig. 4.14.

Starea stabila a CBM este caracterizata prin u
in
=1,Q=0, Q=1,u
R
=0 iar
condensatorul C este descrcat. La trecerea tensiunii de intrare in O conform tabelului de
adevr din figura 4.9d, ieirea porii 1 este forat n 1, saltul pozitiv de tensiune se aplica
prin C la intrrile aflate in scurtcircuit ale porii 2 a crei ieire devine 0.Se produce astfel
trecerea in avalana a CBM in stare cvasistabil ( Q=1, Q=0). Pe msur ce condensatorul
C se ncarc prin rezistenta R la masa, tensiune u
R
scade si in momentul in care aceasta
scade sub nivelul de prag u
p
. Schema basculeaz in starea stabila (poarta 2 este forat n
1 iar porta 1 cu ambele intrri in 1 revine in 0). Starea stabila iniial a CBM (Q=0)este
,
2 1
1
2 C
C B
B
Cb CS Cb C
E
R R
R
u u U U
+
~ ~ = A
84
asigurata daca tensiunea u
R
de pe rezistenta de temporizare, datorata curentului de la cele
2 intrri ale porii 2, este mai mica dect tensiunea de prag u
p
.Daca porile SI-NU sunt
realizate in tehnologie TTL (figura 6.17) tensiunea de prag este u
p
=1,4V si rezulta:
unde I
IL
este curentul debitat de intrare a porii la care tensiunea este 0.
Durata t
i
a impulsului format de monostabil este proporionala cu constanta de
timp de ncrcare a condensatorului si aa cum se arata in lucrarea 9 este data de relaia:
Cele 2 relaii de mai sus permit dimensionarea rezistentei si capacitaii de temporizare
pentru monostabilul cu pori TTL.

4.6. CIRCUITL BASCULANT ASTABIL

Circuitul basculant astabil (CBA) sau pe scurt astabilul este caracterizat prin faptul ca
ambele stri de echilibru sunt cvasistabile. Duratele celor 2 stri cvasistabile sunt
determinate exclusiv de parametrii circuitelor de temporizare RC din structura CBA. O
schem cvasistabil larg folosit n practic este cea din fig. 4.15. a, care se obine din
schema CBM (fig. 4.13.) prin nlocuirea cuplajului rezistiv dintre colectorul lui T
2
i baza
lui T
1
cu un cuplaj capacitiv. Diagramele de timp corespunztoare sunt cele din figura
4.15,b.
S-a considerat ca astabilul se gsete n starea (T
1
-blocat i T
2
-conducie), caz n
care C
1
se ncarc prin R
B1
i T
2
pn cnd tensiunea n baza lui T
1
depete tensiunea
de prag. n acest moment T
1
intr n conducie, saltul negativ de tensiune din colectorul
su se transmite prin R
C2
n baza lui T
2
pe care l blocheaz i schema comut n regim de
avalan n starea cvasistabil (T
1
-conducie, T
2
-blocat). Durata acestei stri este
proporional cu constanta de timp de ncrcare a lui C
2
prin R
B2
i T
1
i conform (4.25)
rezult:
t
i1
0,7 C
2
R
B2

Cnd tensiunea pe baza lui T
2
depete U
P
aceasta intr n conducie i provoac
blocarea lui T
1
. Astfel CBA revine n starea cvasistabil anterioar a crei durat este:
t
i2
0,7 C
1
R
B1
i procesul se repet.





O ~

= s 437
6 . 1 2
4 , 1
2 mA
V
I
u
R
IL
p
RC t
i
1 , 1 3 ln ~ =t
85

Fig.4.15

Rezistenele de colector R
C1
i R
C2
se determin folosind relaia (4.20).Intrarea n
saturaie tranzistoarelor T
1
i T
2
necesit respectarea unor condiii similare cu (4.23) i
anume:
R
B1
r
C1
; R
B2
r
C2
Circuitele basculante astabile se folosesc ca generatoare de impulsuri
dreptunghiulare cu durat dat (t
i1
sau t
i2
) i perioada de repetiie de asemenea dat T


0,7 (C
1
R
B1
+ C
2
R
B2
) .
O schem logic utilizat de astabil cu pori logice NU, realizate n tehnologie
integrat, este cea din fig. 4.16 b.


Fig.4.16

86
Porile logice NU snt n esen amplificatoare de impulsuri inversoare.
Iniial, starea CBA este: Q=1 , Q=1 i condensatorul C n curs de descrcare. n
momentul n care u
1
scade sub valoarea de prag poarta 1 este forat n 1 conform tabelului
de adevr din fig. 4.9. a. iar poarta 2 comut n Q=0 fornd poarta 3 n Q=1. CBA a trecut
deci n starea cvasistabil( Q=0 , Q=1) iar condensatorul C se ncarc pe urmtorul
traseu:ieirea porii3,condensatorul R,intrarea porii 2 (poarta aflat n 0 debiteaz curent
iar poarta aflat n 1 absoarbe curent).
Durata strii cvasistabile est proporional cu constanta de timp T=RC i aa cum
se arat in lucrarea bibliografic [12], n cazul n care porile NU sunt de tip TTL este
adevrat relaia: T
i1
0,93RC
La atingerea pragului U
P
= 1,4 V ,astabilul comut n . (Q=1 , Q=0) iar
condensatorul C se descarc pe acelai traseu dar pe sens contrar.
Se demonstreaz c durata acestei stri este:
T
i2
3,2 RC
Pentru a se asigura un curent de comand suficient de mare pentru poarta1 se
impune ca:
R 1,8 K
Astabilul din fig. 4.16. conine un singur circuit RC de polarizare. Din aceast
cauz rapoartele dintre duratele impulsurilor generate (t
i1
sau t
i2
) i perioada de repetiie
T

= + t
i2
= 2,73 RC sunt constante.
Singura dat de proiectare pentru CBA cu pori I NU e deci perioada de
repetiie a impulsurilor generate
Astabilul din fig. 4.16. conine un singur circuit RC de vaporizare. Din aceast
cauz rapoartele dintre duratele impulsurilor generate (t
i1
sau t
i2
) i perioada de repetiie
( ) ( ) RC t t t n U U t u
i i
m
n
n n
73 , 2 cos
2 1
1
0 0
= + = + + =

=
e sunt constante.
Singura dat de proiectare pentru CBA cu pori I NU e deci perioada de
repetiie a impulsurilor generate.
Un circuit basculant care poate funciona att n regim astabil ct i n regim de
monostabil este generatorul (GA) numit i uneori blocking. Se caracterizeaz prin aceea c
are n compunere un singur etaj de amplificare inversor cu transistor, prevzut cu o bucl
de reacie pozitiv utilizat cu ajutorul unui transformator de impulsuri cu miez nesaturat.
Generatorul autoblocat se utilizeaz n special pentru generarea (n regim de astabil) sau
formarea (n regim de monostabil) a unor impulsuri de putere, cu durata foarte scurt i
avnd timpii de cretere i respectiv descretere mici.
Cu titlul informative n fig. 4.71 a,b se prezint schema, respective diagramele de
timp ale GA n regim monostabil.



87



Fig.4.71
n fig. 4.17. a,c s-au notat cu asterix bornele bobinelor ale cror poteniale sunt n
faz.
Se consider c starea iniial a GA n regim de astabil este cea n care T este
blocat, iar C se descarc. n momentul n care tensiunea n baza lui T depete valoarea
de prag, T intr n conducie i schema comut n regim de avalan n noua stare
cvasistabil n care T este saturat (deschiderea lui T determin scderea tensiunii sale n
colector care se transmite n nfurarea L
2
ca o cretere de tensiune, ceea ce l deschide i
mai mult pe T, etc.). Dup comutare, n nfurarea L
2
se induce o tensiune electromotoare
ct e ~ , datorit curentului cresctor de magnetizare din nfurarea L
1
. Condensatorul C
se ncarc de la e
2
n principal prin rezistena jonciunii B-E a lui T la mas i tensiunea n
baz, U
B
=e
2
-U
C
scade lent. n momentul n care T iese din saturaie, se stabilete bucla de
reacie pozitiv i GA comut n avalan n starea cvasistabil iniial i T se blocheaz
(scderea tensiunii n baz determin un salt pozitiv al tensiunii n colector, care prin L
2
se
transmite ca salt negativ n baz, tensiunea n colector crete i mai mult, etc.). Dioda D i
rezistena R sunt destinate s elimine oscilaiile postimpuls care apar datorit excitrii
circuitului format din L
1
i capacitatea parazit C
p
, de curentul de demagnetizare Pe ct T
este blocat, 0
2
~ e i C se descarc de pe armtura pozitiv prin L
2
, mas, E
b
, R
b
la
armtura ncrcat negativ astfel c n baza lui T se aplic o tensiune negativ tot mai mic
pe msur ce condensatorul C se descarc. n momentul n care tensiunea pozitiv E
b
i
tensiunea negativ descresctoare determin n baz o tensiune pozitiv mai mare ca U
p
,
88
GA comut i ncepe alt ciclu de funcionare. Generatorul autoblocat n regim de astabil
genereaz impulsuri dreptunghiulare cu durata foarte mic (zeci de ms pn la s) i
perioada de repetiie cu cel puin dou ordine de mrime mai mare. Acestea sunt culese de
nfurarea L
3
de cuplaj cu sarcina transformatorului de impulsuri.
n regim de monostabil, starea stabil a GA e asigurat de sursa E
b
care
polarizeaz negativ baza lui T, pe care l menine blocat.
Pentru declanare, prin condensatorul de cuplaj C
C
se aplic un impuls pozitiv n
baza lui T care se deschide i GA comut in regim de avalan n starea cvasistabil.
Aceasta dureaz pn cnd tensiunea n baz scade sub U
P
i GA revine n starea stabil.
Generatorul autoblocat ca CBM formeaz impulsuri de scurt durat, mult mai mic dect
durata impulsurilor de comand.

4.7. Circuite de tensiune liniar variabil

Circuitele de tensiune liniar variabil (CTLV) reprezint o categorie de circuite
comutaie care genereaz sau formeaz impulsuri triunghiulare sau trapezoidale.
Generatoarele de tensiune liniar variabil (GTLV)realizeaz aceasta fr nici un
semnal de comand exterior iar formatoarele de tensiune liniar variabil (FTLV) folosesc
n general impulsuri dreptunghiulare ca semnale de comand.
Circuitele de tensiune liniar variabil se folosesc n sisteme de afiare cu tub
catodic, n sistemele de msur numerice la conversia analog-numeric, in tesle-comand,
etc.
Ele mai sunt denumite i circuite de impulsuri in dinte de fierstru sau circuite
baz de timp.
Principalii parametrii ai tensiunii liniar variabile (TLV) sunt ilustrai n fig. 4.81.

Fig.4.18

Amplitudinea U
nu
reprezint valoarea maxim a tensiunii liniar variabile iar E este
tensiunea de alimentare a CTLV.
Durata cursei directe T
D
reprezint intervalul de timp n care TLV crete de la
valoarea minim (de obicei nul), la U
m
, iar durata cursei inverse T
I
este intervalul n care
aceasta revine la valoarea minim.
Mrimea U, numit abatere de neliniaritate reprezint diferena maxim dintre
tensiunea liniar variabil ideal i cea real.
Coeficientul de neliniaritate se noteaz i reprezint abaterea relativ de
neliniaritate:
nu
U
U A
= c
89
Mai este definit i un coeficient de utilizare al tensiunii de alimentare prin relaia:
E
U
k
nu
u
=
n cele mai multe cazuri, tensiunea liniar variabil se obine prin ncrcarea i
respectiv descrcarea a unui condensator.
Conform (4.10.), pe durata cursei directe tensiunea pe condensator este:
) 1 ( ) (
t
t
e E t u =
unde t este constanta de timp de ncrcare iar E tensiunea de alimentare. n
lucrarea [9] se arat c n acest caz abaterea maxim AU fa de TLV ideal se obine la
jumtatea duratei cursei directe iar coeficientul de neliniaritate i coeficientul de utilizare a
tensiunii de alimentare sunt legate prin relaia:
E
U
nu
8 8
= =

c
Cel mai simplu circuit de formare a tensiunii liniar variabile (FTLV) este format
dintr-un circuit de comutaie cu tranzistor i comutator electric conectat n paralel cu un
condensator (fig. 4.19. a).



n starea iniial tensiunea u
1
n baz este suficient de mare ca T s fie saturat caz
n care condensatorul C este descrcat. La aplicarea saltului negativ din baz, tranzistorul
T se blocheaz i C se ncarc de la E
C
prin R
C
la mas. Constanta de timp de ncrcare
este:
C R
C i
= t T
D

La terminarea impulsului negativ din baz, tranzistorul T intr din nou n saturaie
i C se descarc prin rezistena C E a lui T la mas cu constanta de timp:
d
t = r
CES
C T
D

Atunci cnd T este blocat i C se ncarc, tensiunea din colector este:
C C
C CEb
CEb
CB
E E
R r
r
U ~
+
=
deoarece rezistena C E a lui T aflat n blocare este mult mai mare dect R
C
.
90
Deoarece n comparaie cu E
C
, tensiunea de colector la saturaie U
CS
este
neglijabil conform (4.26) se poate considera c amplitudinea tensiunii liniar variabile
este:
) 1 (
i
d
t
C Ru
e E U
t

~
Performanele FTLV din fig. 4.19 a sunt modeste. Pentru a mbuntii liniaritatea
tensiunii de ieire este necesar creterea constantei de timp de ncrcare
i
t (creterea lui
C cci R
C
se determin ns scderea amplitudinii U
nu
a tensiunii de ieire
Creterea calitii TLV se poate obine dac se pstreaz un curent constant de
ncrcare pe toat durata T
D
a cursei directe, caz n care:
}
= = t
C
I
dt t i
C
t U
C
) (
1
) ( ; i(t) = I
n cazul FTLV din fig. 4.20 a. s-a introdus un generator de curent constant realizat
cu tranzistorul T
2
de tip pnp cu baza la mas i emitorul conectat la E
C
prin R
E
.
Dac E
c
stabilizat atunci tensiunea B E a lui T
2
este constant iar curentul su
de colector este de asemenea constant.


O alt modalitate de cretere a tensiunii TLV este artat de schema din fig. 4.20
b. care folosete n plus un repetor pe emitor realizat cu T
2
i care prezint o bucl de
reacie pozitiva prin C
A

La momentul iniial tensiunea de comand u
1
(fig. 4.19 b.) asigur funcionarea n
saturaie a lui T
1
, C este descrcat, T
2
este blocat, iar condensatorul de acumulare C
A
este
ncrcat aproape de E
C
. Impulsul negativ din baz l blocheaz pe T
1
i condensatorul C se
ncarc de la E
C
prin dioda D aflat n conducie i prin R
C
la mas,tensiunea la intrarea lui
T
2
crete i acesta se deschide.
Potenialul punctului A este dat de relaia:
U
A
= U
CA
+ U
2

unde U
CA
este apropiat de E
C
. De aceea la deschiderea lui T
2
tensiunea U
2,
aproximativ
egal cu potenialul punctului B, crete i dioda D se blocheaz. Condensatorul C continu
ncrcarea pe seama sarcinii acumulate de condensatorul C
A
(deoarece C
A
C sarcina
acumulat este suficient de mare ca pe durata ncrcrii lui C, tensiunea U
CA
s fie practic
constant). Rezult c potenialul punctului A va urmri creterea potenialului punctului
B pe durata ncrcrii condensatorului C.
U
A
=U
CA
+ U
2
U
CA
+ U
B
; U
CA
ct.
Diferena de potenial la bornele rezistenei R
C
este U
A
U
B
= U
CA
ct. i
curentul ce strbate rezistena, egal practic cu curentul de ncrcare a lui C, se va menine
constant.
91
La terminarea impulsului negativ de comand, T
1
se deschide i C se descarc,
tensiunea U
B
scade pn cnd T
2
se nchide, dioda d se deschide i condensatorul C
A
care
s-a descrcat intr-o mic msur pe durata cursei directe se ncarc la nivelul iniial de la
E
C
prin D i prin R
E
la mas.
Repertoriul de tensiune realizat cu T
2
asigur practic ncrcarea cu un curent
constant a lui C datorit amplificrii unitare n tensiune din care cauz U
2
U
B
. n plus
acesta prezint avantajul unei rezistene de intrare mare prin care se evit scurtcircuitarea
condensatorului C pe durata ncrcrii.








































92
5. Redresoare i stabilizatoare

5.1. Generaliti

Circuitele i aparatele electronice folosesc pe durata funcionrii tensiuni continue
de alimentare.
Aceste tensiuni pot fi folosite fie de la surse electrochimice (baterie, acumulatori,
etc.) fie prin conversia energiei de curent alternativ a reelei de 220/50 Hz n energie de
curent continuu cu ajutorul surselor de tensiune continu.
O surs de tensiune continu (fig. 5.1a ) se compune dintr-un transformator,un redresor,un
filtru si un stabilizator.
Transformatorul modific tensiunea reelei la valoarea necesar pentru obinerea tensiunii
continue impuse i n plus realizeaz separarea galvanic ntre reea i circuitul ce trebuie
alimentat.
Redresorul e un circuit avnd n compunere elemente de circuit neliniare (cu conducie
unilateral) care transform tensiunea alternativ (cu component continu nul) de la
intrare ntr-o form de und avnd componenta continu diferit de zero numit tensiune
pulsatorie. Ca elemente de circuit cu condiie unilateral se folosesc diodele cu vid,diode
semiconductoare,tiristoare,etc.
Tensiunea pulsatorie u(t) de la ieirea redresorului este o tensiune periodic i
deci poate fi dezvoltat n serie Fourier armonic:
) cos( ) (
0
1
0 n
n
n
t n U U t u e + + =

=

unde
0
e este pulsaia fundamental proporional cu frecvena reelei de alimentare.
Folosind valoarea primilor doi coeficieni U
0
i U
1
ai dezvoltrii se pot defini principalii
indici de calitate ai unui redresor i anume factorul de ondula ie i randamentul.
Factorul de ondulaie se definete ca raportul dintre amplitudinea componentei
fundamentale i amplitudinea componentei continue:
0
2 1
,...} , max{
U
U U
=
Randamentul de redresare se definete ca raport ntre puterea de curent continuu
furnizat n sarcin (puterea util) i puterea P
r
consumat de la reea:
r
P
P
0
= q
Redresoarele se pot clasifica dup mai multe criterii dintre care cele mai
importante se prezint n continuare:
Dup tipul tensiunii redresate exist:
redresoare monofazate folosite pn la puteri de 1 kw
redresoare polifazate (de obicei trifazate) folosite la puteri mai mari
Dup numrul de alternane ale curentului alternativ care sunt redresate pot fi:
- redresoare monoalternan folosite n aplicaii nepretenioase de mica putere;
- redresoare bialternan
Dup posibilitatea controlului asupra tensiunii redresate exist:
- redresoare necomandate sau fixe;
- redresoare comandate sau reglabile.
93
Deoarece se folosesc pe scar larg n aparatura electronic, in continuare se vor analiza n
mod deosebit redresoarele monofazate, bialternan, necomandate.
Filtrul, numit uneori si de netezire, este destinat atenuarea componentelor cu frecvena
diferit de 0 din spectrul tensiunii pulsatorii de la ieirea redresorului, astfel nct acesta s
se apropie ca form cat mai mult de o tensiune continu.


Fig. 5.1

Pentru ca un circuit electronic s funcioneze la parametrii normali este necesar ca
tensiunea sa de alimentare s fie constant.
Tensiunea redresat i filtrat este variabil n timp datorit :
- variaiilor tensiunii de reea ( tensiunea nominal de 220 V poate varia ntre +10 % i -
15 % );
- variaiilor sarcinii;
- variaiilor factorului de mediu ( temperatur, umiditate etc.)
De aceea, ntre redresor i sarcin se conecteaz un circuit numit stabilizator
destinat s menin constant tensiunea la bornele sarcinii. Performanele unui stabilizator
se apreciaz cu ajutorul unor parametri dintre care cei mai importani se definesc n
continuare.

Factorul de stabilizare n tensiune se definete ca raportul dintre variaia relativa a
tensiunii de reea i variaia relativa a tensiunii in sarcin atunci cnd sarcina este
constanta:
ct R
s
s
r
r
u
s
u
u
u
u
F
=
A
A
= (5.4)
Factorul de stabilizare n raport cu sarcina este definit prin raportul dintre variaia
relativa a rezistentelor de sarcin variaia relativa a tensiunii n sarcin atunci cnd
tensiunea de reea este constanta:
S
S
S
S
R
U
U
R
R
F
A
A
= (5.5)
Un stabilizator este cu att mai eficace cu cat aceti factori de stabilizare sunt mai
mari.
Coeficientul de stabilizare se definete ca fiind raportul dintre variaia tensiunii de
reea i variaia tensiunii n sarcin atunci cnd curentul n sarcina este constant:
ct I
S
R
0
S
U
U
S
=
A
A
= (5.6)
94
Rezistenta interna a stabilizatorului se definete ca fiind raportul dintre variaia
tensiunii n sarcin i variaia curentului n sarcin atunci cnd tensiunea de reea este
constanta:
ct U
S
S
0
R
I
U
R
=
A
A
= (5.7)
Un stabilizator este cu att mai eficace cu ct are un coeficient de stabilizare mai
mare i o rezistenta interna mai mica .
n funcie de metoda de stabilizare folosit exist:
- stabilizatoare cu reacie: tensiunea se menine constanta printr-un proces de
reglare automat: bucla de reacie cuprinde un detector de eroare ce compar tensiunea de
sarcin cu o tensiune de referina i un amplificator de eroare care acioneaz asupra
elementului de reglaj (dispozitiv cu rezistent comandat n tensiune)
n funcie de modul de conectare a elementului de reglaj, stabilizatoarele pot fi de
tip serie (Fig. 5.2) sau de tip paralel (Fig.5.2b)
Drept surse de tensiune de referina se folosesc n general stabilizatoare
parametrice.


a b

Fig. 5.2
n practic cele mai folosite sunt stabilizatoarele serie deoarece au un consum n
gol mai mic, randament mai ridicat i stabilitate mai buna faa de cele de tip paralel.
In sfrit n funcie de acionare a elementului de reglaj exist:
- stabilizatoare lineare: la care elementul de reglaj funcioneaz continuu:
- stabilizatoare n comutaie: la care elementul de reglaj funcioneaz discontinuu
(n regim de comutaie)
n funcionarea unei surse de tensiune pot apare situaii nedorite ca de exemplu:
suprasarcini, scurtcircuite, supratensiuni.
De aceea stabilizatoarele sunt prevzute n plus cu circuite speciale de protecie.

5.2 Redresoare

Cel mai simplu receptor monofazat a crui schem este reprezentat n Fig.5.3a
este redresorul monoalternan cu sarcin rezistiv. Tensiunea din secundarul
transformatorului (Fig.5.3b) este armonic:
95
U(t)=Usin
0
t (5.8)
Dac se neglijeaz rezistena proprie a secundarului precum i rezistena diodei D
n stare de conducie i tensiunea de prag a acesteia, n sarcin se regsesc doar
alternanele pozitive (Fig. 5.3c) ale tensiunii (5.8).Prin convenie pentru alternana
pozitiv polaritile tensiunii u(t) nu sunt trecute in paranteze iar pentru alternana
negativ acestea sunt trecute n paranteze.
Pe durata acestora dioda conduce i deci tensiunea n sarcin este:

e t < < e t
e t < < e
=
0 0
0 0
S
/ 2 t / daca , 0
/ t 0 daca , t sin U
) t ( U (5.9)
Relaia (5.9) definete o tensiune periodic. n [4] se demonstreaz c
descompunerea n serie armonic a acesteia este dat de relaia:

=
+
e
t
e +
t
=
1 n
0
0 S
) 1 n 2 )( 1 n 2 (
) t n 2 cos( U 2
t sin
2
U U
) t ( U (5.10)
n care sin
0
t provine din cos(
0
t-/2).Comparnd (5.10) i (5.1) se obin coeficienii
dezvoltrii:
.... 3 , 2 , 1 n ,
) 1 n 2 )( 1 n 2 (
U 2
U ;
2
U
U ;
U
U
n 2 1 0
=
+ t
= =
t
= (5.11)
Aa cum rezult din (5.11) n sarcin, pe lng componenta continu apar i
armonice de ordin superior, cea mai importanta fiind componenta de frecven
0

(fundamental).
Conform (5.2) factorul de ondulaie este n acest caz:
57 , 1
2 U
U
0
1
~
t
= = (5.12)
Valoarea supraunitar a factorului de ondulaie subliniaz calitatea slab a
redresrii monoalternan, amplitudinea fundamentalei fiind mai mare dect componenta
continu.
Din (5.11) se obine puterea de curent continuu debitat n sarcin:
S
2
2
S
2
0
0
R
U
R
U
P
t
= = (5.13)
Puterea absorbit de la reea pe durata alternanei pozitive este:
S
2
S
2
ef
r
R 2
U
2
1
R
U
2
1
P = = (5.14)
nlocuind (5.13) i (5.14) n (5.3) se obine randamentul redresorului
monoalternan:
4 , 0
4
2
~
t
= q (5.15)

Tensiunea invers maxim aplicat n alternana negativ la bornele diodei D,
aflat n stare de blocare este:
U U
im
= (5.16)


96

0
e
t
0
2
e
t
0
3
e
t

a b
0
e
t
0
2
e
t
0
3
e
t

c

Fig. 5.3

Curentul direct maxim ce strbate dioda n stare de conducie este:
S
dm
R
U
I = (5.17)
Relaiile (5.16)i (5.17) permit alegerea diodei funcie de valorile maxime
admisibile ale curentului i tensiunii pe perioad, specificate n cataloage. mbuntirea
parametrilor (5.12) i (5.15) se poate realiza prin folosirea redresoarelor dubl alternan.
n Fig.5.4a este reprezentat schema unui astfel de redresor cu transformator cu priz
median n secundar. Priza median din secundar asigur obinerea a dou tensiuni de
amplitudine U i defazate cu 180
0
ntre ele:
) t ( u t sin U ) t ( U
0 1
= e =
) t ( u t sin U ) t ( U
0 2
= e =
unde s-a inut seama de (5.8).
Prin rezistena de sarcin R
s,
conectat ntre punctul median al secundarului i
punctul comun al catozilor diodelor D
1
i D
2
, trece curentul i
s
care produce n sarcin
cderea de tensiune u
s
.
Sensul lui i
s
i polaritatea tensiunii u
s
sunt cele indicate n figur att pe durata
alternanei pozitive, cnd conduce dioda D
1
, ct i pe durata alternanei negative, cnd
conduce dioda D
2
.
Rezult c tensiunea n sarcin este:
t sin U ) t ( u U
0 S
e = = (5.18)
i deci se obine redresarea ambelor alternane (Fig.5.4b).
97
Pentru alternana pozitiv a tensiunii din secundarul transformatorului, dioda D
2

este polarizat invers cu o tensiune dat de diferen dintre tensiunea pozitiv din catod,
culeas de pe R
s
i tensiunea negativ aplicat pe anod de ctre transformator.
Rezult c tensiunea inversa maxim suportata de diodele D
1
i D
2
este:
U
in
=2U (5.19)
iar curentul direct maxim al diodelor este dat de (5.17).
Redresarea dubla alternan se poate realiza folosind si montajul in punte din Fig.
5.4c.

0
e
t
0
2
e
t
0
3
e
t
s
t
1
D
2
D

a b
s
t
1
D
2
D 3
D
4
D

c

Fig. 5.4

Pe durata alternanei pozitive conduc diodele D
1
i D
3
, pe durata alternanelor
negative D
2
i D
4
sunt n conducie i deci sensul curentului i polaritatea tensiunii n
sarcin se menin aa cum se indic n Fig.5.4c pe durata ambelor alternane.
Dac se consider diodele ideale i rezistena secundarului nula, tensiunea de
sarcin este dat i n acest caz tot de (5.18).
Rezult c cele dou redresoare vor avea factori de ondulaie i respectiv
randamente egale.
Pentru determinarea acestor parametrii se realizeaz dezvoltarea n serie Fourier
armonic dat conform [4] de relaia:

=
+
e
t

t
=
1 n
0
S
) 1 n 2 )( 1 n 2 (
) t n 2 cos( u 4 U 2
U (5.20)
Comparnd (5.20)i (5.1) se obin coeficienii dezvoltrii:
98
) 1 n 2 )( 1 n 2 (
U 4
U ;
U 2
U
n 2 0
+ t
=
t
= , n=1,2,3 (5.21)
Fa de cazul redresrii monoalternan (5.11) amplitudinea componentei continue
este dubl iar armonica cea mai important are frecvena 2
0
(armonica a doua) i
amplitudinea:
t
=
3
U 4
U
2
(5.22)

Conform (5.2), factorul de ondulaie este n acest caz:
66 , 0
3
2
U
U
0
2
~ = = (5.23)
i spre deosebire de cazul redresorului monoalternan (5.12), acesta este subunitar.
Puterea de curent continuu (util) debitat n sarcin este:
S
2
2
S
2
0
0
R
U 4
R
U
P
t
= = (5.24)
Puterea absorbit de reea pe durata unei perioade complete este:
S
2
S
2
ef
r
R 2
U
R
U
P = = (5.25)
nlocuind (5.24) i (5.25) n (5.3) se obine :
8 , 0
8
2
~
t
= q (5.26)
deci randamentul redresorului dubl alternan este dublu fa de cel al redresorului
monoalternan (5.15).
Valorile (5.23) i (5.26) indic superioritatea redresrii dubl alternan fa de
cea monoalternan.
Pentru schema din Fig. 5.4c, pe durata alternanei pozitive, dioda D
2
de exemplu
este polarizat invers cu tensiunea U (potenialul negativ se aplic pe anod i cel pozitiv
pe catod prin D
1
aflat n conducie). Rezult c n acest caz tensiunea invers maxim pe
diode este dat de (5.16) iar curentul direct maxim este dat de (5.17). n practic cele mai
folosite sunt redresoarele n punte deoarece elimin necesitatea folosirii unui
transformator cu priz median i cu un numr dublu de spire n secundar i n plus
prezint o tensiune invers maxim pe diode de dou ori mai mic fa de cea a
redresorului din Fig.5.4a.
Forma de und obinut la ieirea redresorului dubl alternan (Fig.5.4b) i mai
ales cea de la ieirea redresorului monoalternan nu sunt convenabile pentru alimentarea
circuitelor electronice datorit armonicelor cu amplitudine mare coninute.
Atenuarea acestor armonici se realizeaz cu ajutorul filtrelor de netezire conectate
la ieirea redresorului. Filtrul cel mai folosit este filtrul capacitiv, sub forma sa cea mai
simpl (redus la un singur condensator), prezentat n Fig.5.5a pentru un redresor
monoalternan. n Fig.5.5b cu linie punctat este tensiunea n sarcin fr condensator
iar cu linie continu aceiai tensiune atunci cnd n paralel cu R
s
se conecteaz un
condensator de capacitate mare astfel ca:
T R C
S
>> (5.27)
99
Unde T=2/
0
;
0
fiind frecvena reelei. Dac se respect condiia (5.27) condensatorul
se ncarc rapid prin rezistena foarte mic (practic nul) a diodei D aflat n conducie i
se descarc lent prin rezistena de sarcin de valoare mare.
ntre momentele t
1
i t
2
dioda d conduce (u > u
c
) i condensatorul se ncarc rapid
pn la aproximativ valoarea U. ntre momentele t
1
i t
3
dioda se blocheaz (u < u
c
) i
condensatorul se descarc lent prin rezistena de sarcin. Deoarece t
3
- t
1
=T rezult c
timpul de descrcare al condensatorului este: T ) t t ( T t t
1 2 2 3
~ =
i deci condensatorul se descarc cu:
( )
C R / T
S
e 1 U U

~ A (5.28)




a
1
t
2
t
3
t 4
t
U A

1 D
2 D 3 D
4 D
1 C
2
C

b c
Fig. 5.5

Dac se ine seama de (5.27) rezult:
C R
T
1 e
S
C R / T
s


i relaia (5.28) devine:
C R
U 2
C R
T U
U
S 0 S
e
t
=

~ A (2.29)
Aceast variaie de tensiune poate fi considerat ca reprezentnd amplitudinea
componentei alternative maxime, astfel c nlocuind (5.29) i U U
o
~ n (5.2) se obine
factorul de ondulai al redresorului monoalternan cu condensator de filtrare:
C R
2
U
U
S 0
c
e
t
=
A
~ (5.30)
Relaiile anterioare pot fi extinse i la redresoarele dubl alternan cu
condensatoare de filtraj dac se ine seama c ntr-o perioad T a tensiunii de reea
condensatorul C se ncarc i se descarc de dou ori. Astfel, dac n (5.29) se
100
nlocuiete
0
cu 2
0
i se ine seama c U U
o
~ , din (5.2) se obine factorul de
ondulaie al redresorului bialternan:
C R U
U
s 0
c
e
t
=
A
= (5.31)
Din (5.30) i (5.31) se observ c factorul de ondulaie se reduce n cazul folosirii
condensatorului de filtraj invers proporional cu valoare capacitii i rezistenei de
sarcin.
O atenuare i mai puternic a armonicelor din tensiunea redresat se obine prin
folosirea celulelor de filtrare trece jos n . n Fig.5.5c este reprezentat schema unui
redresor n punte la ieirea cruia s-a conectat o celul RC n nesimetric. Filtrul n
poate fi considerat ca fiind format dintr-un condensator de filtrare C
1
urmat de un divizor
format din R i C
2
. Dac se impune condiia R x
c
<< ) 2 (
0
2
e atunci raportul de divizare
este aproximativ R x
c
/ ) 2 (
0
2
e .
Din (5.13)se obine factorul de ondulaie pe condensatorul C
1
:
s
0 C
C
R
) 2 ( x 2
1
1
e t
=
de unde rezult imediat factorul de ondulaie la ieirea filtrului n :
s
0 C 0 C 0 C
C
R R
) 2 ( x ) 2 ( x 2
R
) 2 ( x
2 1 2
1

e e t
=
e
=
t

n aceste relaii reactanele capacitive s-au calculat la frecventa 2
0
, deoarece din (5.21)
rezult c aceasta este armonica cea mai important a tensiunii la ieirea redresorului
dubl alternan cu celule de filtrare n . n final se obine:
S 2 1
2
0
R R C C 2 e
t
=
t

Pentru redresorul monoalternan se procedeaz similar doar c reactanele vor fi
calculate la frecventa
0
. ntr-adevr din (5.11) rezult c cea mai important armonic
este n acest caz fundamental. Dezavantajul filtrului RC n este pierderea de tensiune
pe rezistena R a filtrului. Acest dezavantaj este eliminat de filtrul LC n la care
rezistena R se nlocuiete cu o impedan L astfel ca
1
2
x x
c
<< .
n redresoarele realizate cu dispozitive semiconductoare aceast soluie este rar
folosit din considerente de spaiu cost ridicat. n unele aplicaii alimentarea sarcinii
trebuie fcut la o tensiune mai mare dect cea obinut n secundarul transformatorului
disponibil. n astfel de situaii se folosesc redresoare cu multiplicare de tensiune (dublare,
triplare, etc.)
n Fig.5.6 sunt reprezentate schemele a dou redresoare cu dublare de tensiune
care provin din redresorul dubl alternan n punte (Fig.5.6a) i respectiv redresorul
monoalternan (Fig.5.6b).
101
1
D
2
D
1
C 2
C

1
D
2
D
1
C
2
C

a b
Fig. 5.6

n cazul redresorului din Fig.5.6a, la alternana pozitiv a tensiunii din secundar
condensatorul C
1
se ncarc prin dioda D
1
aflat n conducie pn la aproximativ
valoarea maxim U.
La semialternana negativ, C
2
se ncarc prin D
2
aflat acum n conducie,
conform polaritii din Fig.5.6a, tot pn la aproximativ valoarea U. La bornele
rezistenei de sarcin va apare deci o tensiune asemntoare celei de la ieirea
redresorului dubl alternan cu condensator de filtraj (Fig.5.5c) dar avnd amplitudinea
maxima dubl 2U
Pentru redresorul din Fig.5.6b condensatorul C
1
se ncarc n alternana negativ
prin dioda D
1
la tensiunea maxim U iar condensatorul C
2
se ncarc n alternana
pozitiv prin dioda D
2
la aceiai tensiune maxim astfel c tensiunea maxim la bornele
rezistenei de sarcin este 2U.

5.3 Stabilizatoare parametrice

Aa cum s-a artat anterior stabilizatoarele parametrice folosesc proprietatea unor
dispozitive electronice neliniare de a menine, ntr-un domeniu dat numit interval de
stabilitate, o tensiune constant la borne.
Pentru realizarea stabilizatoarelor parametrice de mic putere cel mai folosit
element neliniar de acest tip este dioda Zenner. Aceast diod folosete proprietatea
jonciunii pn puternic dopate fa de jonciunea normal de a avea o tensiune invers
aproximativ constant la borne atunci cnd lucreaz n regim de strpungere.
Caracteristica static a diodei Zenner este prezentat n Fig.5.7a. Curentul invers al diodei
este neglijabil pentru valori ale tensiunii inverse mai mici dect tensiunea de strpungere
U
st
dar prezint o cretere abrupt dup depirea acestei valori datorit n principal
multiplicrii n avalan a purttorilor i efectului Zenner de tunelare a jonciunii de ctre
purttori. Aceast multiplicare n avalan a curentului invers al diodei este echivalent
cu strpungerea electric a jonciunii. n zona de lucru dispus ntre a i c (Fig.5.7a)
strpungerea electric este un proces reversibil, deoarece nu apar efecte termice
pronunate. n punctul c caracteristica static a diodei intersecteaz hiperbola puterii
maxime disipate i datorit ambalrii termice ce apare dup depirea acestui punct
strpungerea devine ireversibil (dioda se distruge).
Tensiunea U
ZN
corespunztoare punctului median b al zonei de lucru este indicat
ca tensiune stabilizat nominal n cataloage.
102
Diodele Zenner puternic dopate se strpung n special prin efectul Zenner la
tensiuni mici (U
st
<6V). Deoarece tensiunea de strpungere scade n acest caz cu
temperatura aceste diode au un coeficient de temperatur negativ. Diodele cu dopri mai
reduse se strpung n special prin multiplicarea n avalan la tensiuni mari (U
st
>6V).
Tensiunea de strpungere crete n acest caz cu temperatura i aceste diode au un
coeficient de temperatur pozitiv. Diodele Zenner uzuale se realizeaz pentru stabilizarea
tensiunii ntre 3 i 400V la puteri cuprinse ntre 0,25 i 50W. Schema unui stabilizator cu
diod Zenner este reprezentat n Fig.5.7b unde U
r
i I
r
sunt tensiunea la borne i
respectiv curentul debitat de redresor, iar U
z
i I
z
tensiunea la borne i curentul prin dioda
Zenner.

Z
U A
Z
i A
Z
i
max d
P
Z
U
I
b
II
b

a b

r
U A
s
I A
s
R A z
r
s z
U U A = A

c
Fig. 5.7

Din Fig.5.7a se observ c efectul de stabilizare n tensiune a diodei Zenner se
bazeaz pe faptul c unei variaii mari a curentului prin diod
iz
(corespunztoare
deplasrii din b` n b pe caracteristica static) i corespunde o variaie redus a tensiunii la
borne n jurul valorii nominale U
z
. Rezult c stabilitatea tensiunii la bornele unei diode
Zenner va fi cu att mai bun cu ct rezistena sa dinamic calculat n zona de
strpungere reversibil:
Z
Z
Z
I
U
r
A
A
= (5.32)
103
va fi mai mic. n Fig.5.7c este reprezentat schema echivalent n regim dinamic a
stabilizatorului cu diod Zenner. Aceast schem se obine prin nlocuirea diodei Zenner
cu rezistena sa dinamic (considerat constant n zona de strpungere) i a mrimilor
variabile n timp cu variaiile lor (variaiile tensiunii i curentului n sarcin
s
U A si
s
I A
sunt determinate de variaiile tensiunii de ieire a redresorului
r
U A i variaia sarcinii
s
R A ). Dac se consider curentul n sarcin constant ( 0 = A
s
I ) din Fig.5.7c rezult c
variaia tensiunii n sarcin
s
U A se obine la ieirea divizorului ideal format din R si r
z
la
intrarea cruia se aplic
r
U A astfel:
0 i
r
Z
Z
S
S
U
R r
r
U
= A
A
+
= A
innd seama de (5.6) relaia de mai sus devine:
z
ct I
S
r
0
r
R
1
U
U
S
S
+ =
A
A
=
=
(5.33)
Coeficientul de stabilizare este cu att mai mare cu ct rezistena dinamic a
diodei Zenner este mai mic i cu ct rezistena R este mai mare. Creterea prea mare a
rezistenei R nu este recomandat deoarece se mrete puterea disipat inutil de ea, motiv
pentru care R se mai numete i rezisten de balast. Alegerea diodei Zenner i a
rezistentei R se face innd seama c variaia pozitiv a tensiunii redresate este 10% iar
cea negativ 15% din valoarea nominal (variaiile tensiunii redresate preiau variaiile
maxime ale tensiunii de reea).
Extremele tensiunii de intrare n stabilizator vor fi :

r r
r r
U 1 , 1 U
U 85 , 0 U
M
m
=
=
(5.34)
unde U
r
este tensiunea redresat nominal, iar pentru valorile maxim i minim s-au
folosit indicii M i m.
Pentru dimensionarea elementelor stabilizatorului se consider dou situaii
extreme i anume:
- tensiunea la intrare este maxim U
rM
i sarcina este deconectat
Stabilizatorul cu dou diode Zenner n serie din Fig.5.8.b permite obinerea unei
tensiuni stabilizate mai mari atunci cnd nu se dispune de o diod Zenner de tensiune
mare, egal cu tensiunea impus n sarcin. n sfrit n Fig.5.8.c este reprezentat un
circuit care se comport la bornele A i B ca o diod Zenner de aceea se numete dioda
Zenner simulat.

Fig.5.8

104
Procesul de stabilizare a tensiunii n Fig.5.7.a se bazeaz pe jocul de cureni dintre
sarcin i dioda Zenner. ntr-adevr, de exemplu la creterea tensiunii redresate crete
curentul n sarcin i deci i tensiunea
1 2
U U = de la bornele diodei Zenner i aa cum
se observ din Fig.5.7.a aceasta determin creterea curentului prin diod care preia astfel
tendina de cretere a curentului n sarcin.
n Fig.5.8.c curentul de divizor
d
i se alege mult mai mare dect
b
i , uzual
b d
i 10 i ~ astfel c tensiunea n baza tranzistorului T s fie:
*
2 1
2
2
Z R
U
R R
R
U
+
=
iar tensiunea baz emitor:
z Z BE
U U
R R
R
U
+
=
*
2 1
2

unde U
z
este tensiunea nominal la bornele diodei Zenner simulate. Astfel creterea
tensiunii n sarcin, crete conectat la bornele A i B, determin creterea tensiunii U
BE
,
tranzistorul T se deschide mai puternic i creterea curentului n sarcin este preluat de
creterea curentului de colector. Din analiza comparativ a Fig.urilor 5.8.c i 5.2.b rezult
c dioda Zenner simulat este de fapt un stabilizator cu reacie de tip ::::::::::: fr
amplificator de eroare, al crui element de reglaj sete tranzistorul T iar elementul de
referin este dioda Zenner D.

5.4. Stabilizatoare cu reacie

Aa cum s-a artat i n paragraful 5.1 datorit performanelor superioare, dintre
stabilizatoarele cu reacie cele mai folosite sunt cele de tip serie. La acest tip de
stabilizatoare (Fig.5.2a) elementul de reglaj (de obicei un tranzistor) este conectat n serie
cu rezistena de sarcin.
Mecanismul de reglaj este urmtorul: o tendin de variaie ntr-un anumit sens a
tensiunii de sarcin U
S
(cretere sau reducere) atrage dup sine prin intermediul buclei de
reacie o variaie n acelai sens a cderii de tensiune pe elementul de reglaj. Tensiunea de
ieire este egal cu diferena dintre tensiunea de intrare i tensiunea de p elementul de
reglaj astfel c tensiunea n sarcin revine spre valoarea iniial. De exemplu dac
tensiunea de sarcin tinde s creasc datorit creterii tensiunii redresate U
R
sau datorit
scderii sarcinii, tensiunea de comand rezultat n urma comparaiei dintre tensiunea de
sarcin i tensiunea de referin i amplificat de amplificatorul de eroare determin
creterea tensiunii pe elementul de reglaj i deci scderea tensiunii n sarcin.
Cea mai simpl schem a unui stabilizator serie este reprezentat n Fig.5.9a. n
aceast schem tensiunea de referin este furnizat de stabilizatorul parametric format
din rezistena R i dioda Zenner D, tranzistorul T este elementul de reglaj serie, rolul
comparatorului este jucat de jonciunea baz emitor a lui T iar amplificatorul de eroare
lipsete. Tensiunea de eroare este tensiunea baz emitor a tranzistorului T i anume:
S Z BE
U U U =
Creterea de exemplu a tensiunii n sarcin determin scderea tensiunii U
BE
,
tranzistorul T tinde spre blocare i tensiunea U
CE
a sa crete, deci n final tensiunea n
sarcin:
CE r S
U U U =
va scdea la valoarea iniial.
105

Fig.5.9

Curentul prin rezistena de balast R este:

e
S
Z B Z R
h
i
i i i i
21
+ ~ + = (5.39)
unde s-a inut cont c ntre curentul de colector aproximativ egal cu i
S
i curentul de baz
al tranzistorului T exist relaia
B e 21 S
i h i ~ , n care h
21e
este factorul de transfer n
curent al tranzistorului n montaj emitor comun.
Pentru stabilizatorul parametric format din dioda Zenner D i rezistena R se poate
scrie relaia:
s R R R
U i R U i R U + ~ + =
2
(5.40)
deoarece conform (5.38) diferena U
Z
-U
S
este neglijabil (tensiunea de aproximativ 0,7V
a unei jonciuni pn polarizate diferit).
n regim dinamic (5.40) devine:
S R r
U i R u A + A = A
iar din (5.39) se obine:
e
S
Z
S
R
h
i
r
U
i
21
A
+
A
~ A (5.41)
n (5.41) s-a considerat c dioda Zenner este nlocuit de rezistena sa dinamic i
s-a exprimat
z
i A din (5.32). nlocuind (5.41) n (5.40) rezult:
S
e
S
z
r
i
h
R
U
r
R
U A + A + ~ A
21
) 1 ( (5.42)
relaia ce permite determinarea coeficientului de stabilizare pentru stabilizatorul serie
Fig.5.9a.
ntr-adevr din (5.42) pentru un curent de sarcin constant ( 0 i
S
= A ) se obine:
2
0
1
r
R
U
U
S
ct I
S
r
S
+ ~
A
A
=
=
(5.43)
Comparnd (5.43) cu (5.33) se constat c stabilizatorul serie nu mbuntete
coeficientul de stabilitate al stabilizatorului parametric n schimb permite un curent de
sarcin mai mare, iar dioda Zenner lucreaz n condiii mai uoare deoarece preia
variaiile lui i
B
i nu ale lui i
S
.
106
Montajul din Fig.5.9a poate fi considerat i ca un receptor pe emitor care se
produce la ieire tensiunea constant U
Z
aplicat la intrare. Alegerea tranzistorului de
reglaj T se face plecnd de la situaiile limit (Fig.5.9b) pentru care se pot scrie relaiile:
r SM Tm rm
U U U U 85 , 0 = + = (5.44)

r Sm TM rM
U U U U 1 , 1 = + =
unde s-a inut cont de(5.34).
Dac n (5.44) se elimin U
r
ntre cele dou relaii se poate obine tensiunea
maxim pe tranzistor.

Sm SM Tm TM
U U U U + = ) ( 29 , 1 (5.45)
n (5.45) valorile extreme U
SM
i U
Sm
sunt date iniiale iar pentru tranzistorele de
medie i mare putere tensiunea colector emitor minim U
Tm
se alege ntre 1 i 4V.
Cunoscnd curentul de colector maxim, aproximativ egal cu I
SM
i tensiunea
colector - emitor maxim U
TM
dat de (5.45), din cataloage poate fi ales tranzistorul care
poate ndeplini rolul de element de reglaj.
Plecnd de la relaia (5.40) rezult :
R
z r
i
U U
R

= (5.46)
nlocuind (5.39) n (5.46) se obine relaia de dimensionare a rezistenei de balast:

e
S
z
z r
h
i
i
U U
R
21
+

= (5.47)
ntr-adevr plecnd de la (5.47) rezult valorile extreme:

e
Sm
ZM
z rM
m
h
I
I
U U
R
21
+

= (5.48)
care permit alegerea convenabil a rezistenei R.
n (5.48) s-a presupus c tensiunea la bornele diodei Zenner i factorul de transfer
direct n curent al tranzistorului sunt mrimi relativ constante.
Pentru a mri factorul de stabilitate (5.43) se realizeaz stabilizatoare cu reacie de
tip serie avnd i amplificator de eroare.
n Fig.5.10 este prezent schema unui astfel de stabilizator n care amplificarea
semnalului de eroare este realizat cu ajutorul unui amplificator operaional.
Aa cum s-a artat n paragraful 6.2, amplificatorul operaional are o amplificare
A de ordinul sutelor de mii, o intrare neinversoare notat cu (+) i o intrare inversoare
notat cu (-) iar tensiunea de ieire u
0
este:
) (
0
+
= u u A u (5.49)
La intrarea neinversoare se aplic tensiunea de referin U
ref
obinut la ieirea
stabilizatorului parametric format din rezistena de balast R i dioda Zenner D deci:
ref
u u =
+
(5.50)
La intrarea inversoare se aplic, prin intermediul divizorului format din R
1
i R
2
,
tensiunea:

S
u
R R
R
u
2 1
1
+
~

(5.51)
107
Relaia (5.51) este justificat de faptul c rezistena de intrare a amplificatorului
operaional este de ordinul M i curenii celor dou intrri sunt neglijabili:

Fig.5.10
Din Fig.5.10a rezult c:

S BE
U U u + =
0
(5.52)
nlocuind (5.50) i (5.51) n (5.49i egalnd astfel ecuaia cu membrul drept al
relaiei (5.52) se obine:
S BE S ref
U U U
R R
R
U A + =
+
) (
2 1
1

de unde se poate exprima tensiunea de la intrarea stabilizatorului:
2 1
1
1
R R
R
A
A
U
U
U
BE
ref
S
+
+

=
i dac se ine seama c A este foarte mare:
ref S
U
R
R
U + ~ ) 1 (
1
2
(5.53)
Relaia (5.53) indic faptul c tensiunea n sarcin este proporional cu tensiunea de
referin i nu depinde de tensiunea de referin u
r
. Factorul de proporionalitate 1 + R
2
/
R
1
este conform (6.16) chiar amplificarea pentru amplificatorul neinversor realizat cu
amplificator operaional n montaj cu reacie negativ prin circuitul de reacie format din
R
1
i R
2
(pentru tensiunea U
0
tranzistorul T este n montaj de repetor pe emitor i
amplificarea sa n tensiune este aproximativ unitar).
Deoarece U
ref
este aproximativ constant din (5.53) rezult ci9 tensiunea n
sarcin este constant.
n [2] se demonstreaz c pentru stabilizatorul serie cu amplificator de eroare din
Fig.5.10a coeficientul de stabilizare este:
A
R R
R
h R
S
e S

+

~
2 1
2
22
0
1
(5.54)
unde h
22
este admitana de ieire a tranzistorului T n montaj emitor comun.
Stabilizarea obinut este cu att mai bun cu ct amplificarea n bucla deschis (fr
reacie) a amplificatorului operaional este mai mare.
108
Deoarece n cazul stabilizatoarelor serie tranzistorul folosit ca element de reglaj
este parcurs de curentul de sarcin acesta .. n caz de suprasarcin (rezistena de
sarcin foarte mic) sau scurtcircuit. Pentru preveni aceast situaie stabilizatoarele sunt
echipate cu circuite de protecie.
n Fig.5.10b este redat un circuit simplu care protejeaz tranzistorul T mpotriva
suprasarcinii i scurtcircuitului prin limitarea curentului de sarcin. ntre tranzistorul serie
i sarcin se intercaleaz o rezisten R
0
cu valoare de fraciuni de ohmi (de obicei
bobin) iar ntre baza lui T i sarcin se conecteaz dou sau mai multe diode, funcie de
valoarea curentului de sarcin maxim admis I
sn
.
Ct vreme curentul prin tranzistor, aproximativ egal cu curentul prin sarcin e
redus, diodele D
1
i D
2
sunt blocate. Cnd acestea depesc valoarea limit I
lim
, diodele
D
1
i D
2
se deschid i menin o tensiune constant ntre punctele Ai B deci ct U
BE
~ i
curentul prin tranzistor i prin sarcin este limitat (pentru schema din Fig.5.10b aceast
tensiune este dublul tensiunii de prag a jonciunii pn). Pentru reducerea rezistenei R
0
se
ine cont c:
p BE
U I R U 2
lim 0
= +
unde U
p
este tensiunea de prag de deschidere a diodei. Rezult c:
lim
0
2
I
U U
R
BE p

= (5.55)
n Fig.5.10c este reprezentat cu o linie continu variaia tensiunii n sarcin
funcie de curentul n sarcin pentru acest tip de protecie, numit i caracteristica extern
a stabilizatorului.
n caz de scurtcircuit n sarcin ntreaga tensiunea de la ieirea redresorului cade
pe tranzistorul serie i curentul de scurtcircuit va fi suma dintre I
SM
prin tranzistor i
curentul prin diode:
1
lim
R
U
I I
r
SC
+ = (5.56)
Rezistena R
1
este destinat ca s limiteze curentul prin diodele D
1
i D
2
n caz de
scurtcircuit la ieire:
DM
r
I
U
R =
1
(5.57)
unde I
DM
este curentul direct maxim admis prin D
1
i D
2
.
Dup ce din (5.57) s-a determinat R
1
curentul limit I
lim
se obine din (5.56) cu
condiia
TM SC
I I = . Curentul maxim admis prin tranzistor I
TM
este dat n catalog.
n Fig.5.10c cu line punctat este reprezentat caracteristica extern a unui
stabilizator ideal a crui tensiune n sarcin se menine constant indiferent de curentul de
sarcin pentru
SM s
I i < iar pentru
SM s
I i = limitarea este net.
Circuitul din Fig.5.10b protejeaz deci tranzistorul serie mpotriva distrugerii
acestuia n cazul n care curentul prin el depete valoarea maxim admis I
TM
. Totui
dac tranzistorul serie funcioneaz timp ndelungat la acest curent limit (suprasarcina
sau scurtcircuitul persist) el se poate distruge prin ambalare termic.
Circuitul din Fig. 5.11a realizeaz protecia tranzistorului serie att la suprasarcin
(scurtcircuit) ct i la depirea puterii disipate maxime a acestuia circuitul intr n
funciune cnd curentul prin tranzistor depete valoarea limit I
lim
. Dac n Fig. 5.11a
se exprim tensiunea ntre baza lui T
1
i masa folosind dou trasee diferite rezult:
109
2 1
2
lim
) (
1
R R
R
I R U U U
O S S BE
+
+ = +
de unde se obine:
] ) 1 ( [
1
2
1
2
1
0
lim
1
R
R
U
R
R
U
R
I
S BE
+ + = (5.58)
Punnd n (5.58) condiia U
s
=0 se obine curentul de scurtcircuit:
) 1 (
2
1
0
1
R
R
R
U
I
BE
SC
+ = (5.59)

Comparnd (5.58) i (5.59) rezult c I
SC
<I
lim
i deci tranzistorul serie e. protejat
i la ambalarea termic. Circuitul de protecie asigur dup depirea curentului ct i a
tensiunii n sarcin. Caracteristica extern astfel obinut este reprezentat n Fig.5.11b.
Datorit alurii caracteristicii externe astfel obinut este reprezentat n Fig. 5.11a se mai
numete circuit de limitare a curentului de sarcin cu ntoarcerea caracteristicii.




















110
6. Circuite integrate

6.1 Generaliti

Circuitele integrate reprezint o clas aparte de circuite electronice de uz general
sau specializate ale cror componente active i pasive sunt realizate prin diverse procedee
tehnologice ntr-un volum dat de material semiconductor numit cip.
Principalele avantaje ale circuitelor integrate care determin o dezvoltare
explosiv a acestora, sunt:
- miniaturizarea exprimat prin reducerea cu trei patru ordine de mrime a
dimensiunilor fa de aceleai circuite realizate cu componente discrete;
- creterea fiabilitii n special prin reducerea numrului de interconectri;
- consum energetic mic;
- pre de cost redus datorit tehnologiilor ce permit realizarea simultan pe aceeai
plachet de semiconductori a unui numr mare de circuite integrate identice.

Circuitele integrate prezint ns i o serie de limitri fa de circuitele cu
componente discrete:
- restricii privind realizarea n tehnologie integrat a rezistenelor i capacitilor
de valori mari;
- excluderea practic total a realizrii n tehnologie integral a inductanelor; aceste
dou restricii severe impun conceperea schemelor circuitelor integrate astfel nct s
cuprind un numr mare de tranzistoare i ct mai puin elemente pasive;
- limitarea puterii semnalelor ce pot fi prelucrate, datorit nivelului redus al puterii
disipate admise a fiecrei componente, mai ales n cazul circuitelor cu densiti de
integrare mari.
n prezent exist un numr mare de tipuri de circuite integrate ce se pot clasifica
conform mai multor criterii, cele mai folosite fiind: dup natura semnalelor prelucrate
(Fig. 6.1a) i dup tehnologia de realizare (Fig. 6.1 b).
a)

b)


Fig.6.1

111
Circuite integrate analogice prelucreaz semnalele cu variaie continu n timp i
funcie de relaia de legtur ntre semnalul de ieire i semnalul de intrare pot fi liniare
sau neliniare.
Datorit caracterului neliniar al dispozitivelor active din compunere (tranzistoare)
circuitele din prima categorie sunt suficient de liniare pe domenii de funcionare limitate.
Cele mai rspndite tipuri de circuite integrate liniare
sunt:amplificatoarele,stabilizatoarele,circuite de tip PL.L (circuite calare de faz) etc.
Categoria circuitelor integrate neliniare este reprezentat de multiplicatoare,
circuitele de logaritmare,circuite pentru calculul funciilor trigonometrice,circuite pentru
calculul valorii metrice ptratice, etc.
Circuitele numerice sau logice prelucreaz semnale binare care pot lua doar dou
valori corespunztoare strilor de blocare sau conducie ale unui tranzistor i care pot
reprezenta numerele 1 sau 0.
Din punct de vedere tehnologic circuitele integrate pot fi realizate sub forma
monolitic (obinute integral pe aceiai plcu de material semiconductor) sau sub form
hibrid.
Tehnologia bipolar se bazeaz pe utilizarea tranzistoarelor bipolare planar
epitexiale obinute printr-o succesiune de etape de fotomascare, difuziune i cretere
epitaxial aplicate unei plcue de material semiconductor. Machetele de siliciu de tip pp
de exemplu se obin dintr-un monocristal masiv cu diametrul de 8 10 cm prin tierea n
rondele cu grosimi de 250 300 m i polizarea pe o fa a acestora.
Creterea epitaxial const n formarea pe placheta de siliciu numit substrat a
unui strat de siliciu avnd concentraie de impuriti diferit de cel a substratului (Fig.
6.2a). Creterea acestui strat de grosime de 1 - 20m se realizeaz prin plasarea plachetei
ntr-o atmosfer de tetradorur de siliciu (SiCl
4
) la temperatur ridicat. n urma reaciei
chimice ce are loc n aceste condiii rezult siliciu de tip n care se depune pe suprafaa
plachetei. Placheta se plaseaz n continuare ntr-un mediu oxidant la temperatur nalt
pe suprafaa acesteia formndu-se un strat de bioxid de siliciu (SiO
2
) cu grosimea de 0,2 -
1m care este impermeabil la difuzia impuritilor (Fig.6.2b). Urmtoarea etap const n
acoperirea plachetei cu un strat subire material flexibil denumit fotorezist (Fig.6.2c).
Prin expunerea la o anumit lungime de und (de regul spectrul ultraviolet )
fotorezistorul devine solubil la anumii solveni n care fotorezistorul neexpus nu e
solubil. Expunerea se face prin intermediul unei mti de dimensiunile plachetei, opac
cu excepia zonelor unde urmeaz s aib loc difuzia impuritilor (Fig. 6.2d).
Difuzia n stare solid a impuritilor n siliciu const n deplasarea la temperaturi
nalte a atomilor de impuriti de la suprafaa plachetei n volumul acesteia. Adncimea
de difuziune este controlabil i poate fi de la 0,1 - 20m. Dup ndeprtarea
fotorezistorului din ariile n care acesta a devenit solubil (Fig. 6.1e), placheta se dispune
ntr-un mediu corosiv (amestec de fluorur de amoniu i acid florhidric), bioxidul de
siliciu fiind ndeprtat de pe ariile selectate prin masc.
112

Fig. 6.2

Dup corodare ariile de fotorezist corespunztoarelor zonelor opace ale mtii
sunt ndeprtate chimic i placheta rmne cu aa numitele ferestre deschise n oxid n
locurile dorite (Fig. 6.3 a).
n Fig.6.3 sunt redate simplificat restul etapelor de parcurs pentru realizarea unui
circuit integrat bipolar, lund n considere doar zona de implementare a uni tranzistor
npn.
Difuzia cu impuriti de tip p reprezentat n Fig. 6.3 b este destinat pentru
izolarea unor insule de siliciu de tip n n al cror volum se realizeaz tranzistoarele
(insulele delimiteaz chiar colectoarele acestora) sau difuziune adnc ce trebuie s
penetreze stratul epitaxial, aceasta necesit o durat de cteva ore.
n etapa urmtoare se crete un nou strat de oxid folosind o nou masc se
realizeaz difuzia de baz tot cu impuriti de tip p (Fig. 6.3 c) dar cu o adncime mult
mai mic de la 1 la 3m. Prin aceast difuziune se formeaz i o mare parte din
rezistoarele circuitului.
Dup creterea altui strat de oxid i o nou mascare se formeaz prin difuziune cu
impuriti de tip n emitoarele tranzistoarelor (Fig.6.3 d) adncimea de difuziune fiind n
acest caz 0,5 la 2,5m.
Urmtoarea etap de mascare sete destinat s deschid ferestre de contact n oxid
n zonele de emitor, baz, colector ale tranzistoarelor precum i pentru terminalele
elementelor pasive de circuit (Fig.6.3 e).
ntreaga plachet este acoperit apoi cu un strat subire (aproximativ 1m) de
aluminiu care conecteaz practic n scurtcircuit toate elementele din circuit. Definirea
traseelor de metalizare se realizeaz printr-o ultim etap de mascare neprecedat de
aceast dat i de o cretere a stratului de oxid.
Aluminiul este corodat n zonele n care fotorezistorul a devenit solubil prin
expunere (n Fig.6.3 f s-a propus interconectarea emitorului tranzistorului central cu
colectorul tranzistorului din dreapta acestuia).
113

Fig.6.3

n fiecare din etapele tehnologiei planar epitexiale prezentate mai sus mtile joac un
rol important. Acestea se proiecteaz pornind de la schema electric a circuitului ce
urmeaz a fi realizat. Fiecrui element de circuit activ sau pasiv i se aloc o arie pe care
se realizeaz iniial insula izolat i apoi structurile propriu zise. Fiecare etap a
procesului tehnologic se realizeaz folosind o masc corespunztoare existnd n acest
sens mti pentru difuzii de izolare de baz i respectiv de emitor i mti de
interconectare. Intr-o prima faza aceste mti se realizeaz la scara mare (de exemplu
500 :1) pe un material plastic special numit rubilit format dintr-o folie transparenta
suprapusa peste o folie opaca. Desenul se realizeaz cu ajutorul unui aparat comandat de
calculator numit coordinatograf, prin tierea si ndeprtarea foliei opace din zonele ce
urmeaz a fi supuse difuziei. Pe o masca se gsesc alturate attea imagini identice cte
circuite vor fi realizate pe placheta de siliciu.
Mtile sunt micorate fotografic succesiv pana ajung la dimensiunile reale ale
plachetei. Aceste mti de mici dimensiuni folosite efectiv in procesul tehnologic se
numesc copii de lucru.
Masca de interconectare permite realizarea la marginea fiecrui circuit a unor arii
metalice mai mari numite poduri folosite pentru conectarea exterioara. La sfritul etapei
de corodare a peliculei de aluminiu circuitul integrat este realizat si urmeaz ncapsularea
sa.
Datorita ariei reduse ocupate de circuitul integrat (aproximativ 5 mm
2
)este
posibila realizarea simultana a sutelor de circuite integrate identice pe o singura placheta.
Urmeaz testarea pe placheta a fiecrui circuit integrat efectuata cu ajutorul calculatorului
prin conectarea schemei de testare la podurile fiecrui circuit. Daca un circuit nu
corespunde parametrilor impui acesta se marcheaz cu o pictur de cerneala. n final
placheta se separa in plcue numite cipuri, corespunztoare fiecrui circuit in parte.
Aceste cipuri se monteaz pe o grila metalica ce incorporeaz contactele exterioare (pinii)
circuitului si se fac legturile cu fire subiri de aur de la podurile cipului la pini. Urmeaz
ncapsularea si testarea finala a circuitelor integrate astfel obine.
114
Tehnologia unipolara se bazeaz pe utilizarea tranzistoarelor MOS sau JFET si se
realizeaz printr-un proces asemntor celei bipolare la care se adaug pentru
tranzistoarele MOS de exemplu o etapa suplimentara de mascare destinata creterii
stratului izolator de SiO
2
ce separa contactul grilei de canal.
Pentru realizarea circuitelor integrate analogice se folosete pe scara larga
tehnologia bipolara si intr-o msur mult mai mica cea unipolara datorita in special unei
pante jos mai mici fata de cea a tranzistoarelor bipolare. n prezent se realizeaz circuite
integrate analogice de tip BIFET si care utilizeaz tranzistoare bipolare si tranzistoare cu
efect de cmp cu jonciune, posibil de realizat pe acelai cip in cadrul aceluiai proces
tehnologic folosind astfel avantajele ambelor tipuri de tranzistoare. Situaia este oarecum
diferita in domeniu circuitelor integrate numerice,unde producia e mprit aproximativ
egal,intre tehnologia bipolara care ofer viteza mare si cea unipolara care permite o
densitate de integrare mai mare si consum de putere mult mai mic.
Circuitele integrate hibride sunt circuite la care rezistoarele,conductoarele si
unele condensatoare sunt realizate fie prin tehnologia straturilor groase,fie prin
tehnologia straturilor subiri,iar dispozitivele active (tranzistoare,circuite integrate) sau
pasive neintegrabile (condensatoare sau rezistente de valori mari, inductane) se ataeaz
fr capsula printr-un proces tehnologic separat.
Straturile groase sunt materiale conductoare,dielectrice sau rezistive cu grosimi
mai mari de 5 m,care se obin prin ardere controlata a unor paste depuse pe un substrat
ceramic in conFiguraia dorita. Depunerea se face prin intermediul unor site fine ale crui
ochiuri sunt astupate selectiv cu emulsie printr-un procedeu fotografic in regiunile in care
pasta nu trebuie dispusa pe substrat.
Straturile subiri au grosimi sub 5m i se obin prin depunerea selectiva in vid
pe un substrat de ceramica sau sticla prin intermediul unor mti asemntoare celor
folosite in tehnologia bipolara.
In continuare elementele active de circuit se ataeaz din exterior prin
termocompresie sau lipire ultra sonica, dup care se separa circuitele individuale prin
zgriere si spargere controlata a substratului.
In final cipurile obinute prin separare se ncapsuleaz de regula prin acoperire cu
rini expoxidice si se testeaz. In general tehnologia hibrida se folosete pentru
realizarea circuitelor analogice asigurnd, cu preul unor dimensiuni mai mari fata de
circuitele monolitice,performante superioare acestora :
- disipare termica superioara,deci puteri mai mari ;
- precizie mare datorita posibilitilor de ajustare funcional a valorilor elementelor
pasive de circuit prin ndeprtarea controlata a unei pri din straturile depuse cu
ajutorul unui fascicol de laser ;
- frecvente de lucru mari de ordinul megahertzilor prin folosirea componentelor
speciale de microunde.
Aceste performante superioare se obin folosind tehnologia straturilor subiri la
un pre de cost mai ridicat datorita complexitii procesului tehnologic,pe cnd
tehnologia straturilor groase asigura performante mai reduse sau preturi avantajoase.






115
6.2. Amplificatoare operaionale

Unul dintre cele mai folosite tipuri de circuite integrate liniare este amplificatorul
operaional. Amplificatorul operaional e un circuit cu intrare diferenial (simetrica) si
ieire asimetrica, avnd ctig mare in tensiune si care se folosete de obicei in
configuraii cu reacie.
Denumirea de operaiuni provine de la faptul ca iniial s-a folosit intr-o
realizare analogic al a unor operaii matematice ca adunarea, scderea, integrarea,
derivarea, etc.
Structura tipica a unui circuit operaional e redata in Fig. 6.4 a. Dei nu sunt toate
reprezentate in figura 6.4 a un amplificator operaional legat cuprinde in principiu
urmtoarele circuite:
- etajul de intrare: este un etaj diferenial destinat sa asigure o impedana de intrare
mare, o amplificare in tensiune moderata si eventual o limitare a semnalului de intrare;
- etajele de amplificare intermediare: asigura o amplificare mare in tensiune; la fel ca
si etajul de intrare funcioneaz liniar in regim de semnal mic ;
- etajul prefinal si etajul final asigura puterea specificata in sarcina precum si o
impedana de ieire cat mai mica; spre deosebire de etajele anterioare acestea lucreaz in
regim de semnal mare;
- circuitele de deplasare a nivelului de curent continuu, destinate sa asigure
compatibilitatea intre nivelul de ieire al unui etaj si cel de la intrarea etajului urmtor,
sunt impuse de cuplajul direct intre etaje utilizat datorita imposibiliti realizri de
condensatoare de cuplaj de valori mari in tehnologie integrata ;
- surse de curent realizate cu tranzistoare si folosite fie pentru polarizarea unor etaje,
fie ca sarcini active (de impedana mare) ;
- circuite de protecie termic sau la suprasarcina care acioneaz asupra etajului
final.
Etajul diferenial este cel mai utilizat subcircuit din circuitele integrate analogice.
Schema clasica a unui etaj de amplificare diferenial este reprezentat in Fig. 6.4.b


Aceasta schema prezint o intrare diferenial intre bazele celor doua tranzistoare
iar rezistenta de sarcina e conectata intre cei doi colectori, dei ieirea se face tot
diferenial. Pentru un etaj perfect simetric variaiile tensiunilor bipolare de alimentare, ale
temperaturii si ale semnalelor comune aplicate pe cele doua intrri modifica in mod
identic tensiunile U
c1
,U
c2
din colectorii lui T
1
si T
2
si deci nu afecteaz tensiunea de
116
ieire diferenial U
Rs
=U
c1
-U
c2
. Pentru ca etajul diferenial sa lucreze liniar si pentru
valori mari ale prilor de semnal comune celor doua intrri se impune ca rezistenta
comuna de emitor R
E
sa aib valori mari. Acest deziderat se poate realiza nlocuind
rezistenta R
E
cu o sursa de curent dup cum s-a artat in Fig. 6.5a.
Folosind schema din Fig. 6.5 a se poate determina caracteristica statica de
transfer a etajului diferenial.
Notnd cu I
0
curentul debitat de sursa, considernd rezistenta echivalenta interna
de valoare infinita si neglijnd curenii reziduali din colector rezulta:

I
0
= I
E1
+ I
E2
~ I
C1
/o
1
+ I
C2
/o
2
=

(I
C1
+I
C2
) /o (6.1)
unde s-a considerat ca tranzistoarele T
1
si T
2
sunt identice si deci au acelai factor de
amplificare in curent o
1
=o
2
=o.
Curenii de colector depind de tensiunile baz - emitor ale tranzistoarelor T
1
si
T
2
conform relaiilor aproximative :

I
C1
~ I
S
e
Ube1/Ur
; I
C2
~ I
S
e
Ube2/Ut
(6.2)
unde U
t
=kT/q este tensiunea termica avnd la temperatura de 300 K valoarea de
aproximativ 25 mV iar curenii de saturaie ai celor doi tranzistori s-au considerat a fi
identici I
S1
=I
S2
=I
S
.
nlocuind (6.2) in (6.1) se obine:
I
0
= I
S
/o ( e
Ube1/Ut
+ e
Ube2/Ut
)
de unde dnd factor comun forat pe e
Ube1/Ut
si respective e
Ube2/Ut
rezulta :
I
0
= I
C1
/o(1+e
(Ube2-Ube1)/Ut
); I
0
= I
C2
/o(1+e
(Ube1 Ube2)/Ut
) (6.3)
Din legea a doua a lui Kirchhoff scrisa pe bucla masa - baza T
1
emitor T
1

emitor T
2
baza T
2
masa se obine :
U
be1
U
be2
= U
I1
U
I2

Folosind egalitatea de mai sus in ( 6.3 ) se deduc expresiile curenilor de colector
ai celor doua tranzistoare :

T
i i
U
U U C
e
I
I
1 2
1
0
1
+
=
o
;
T
i i
U
U U C
e
I
I
2 1
1
0
2
+
=
o
(6.4)
Caracteristicile de transfer tensiune-curent (6.4) sunt reprezentate grafic in Fig.
6.5b Tensiunile de colector ale tranzistoarelor T
1
si T
2
sunt date de relaiile :
U
C1
= E
C
I
C1
R
C
; U
C2
= E
C
I
C2
R
C
(6.5)
innd seama de (6.4) din expresiile de mai sus se obine tensiunea de ieire
diferenial :
U
C1
U
C2
=oI
o
R
C
th
T
i i
U
U U
2
2 1



(6.6)
Caracteristica de transfer tensiune-tensiune (6.6) este reprezentata grafic in Fig.
6.5.c pentru tensiunea de intrare normala cu U
T
.
Aa cum se observa din Fig. 6.5.c caracteristica statica de transfer in tensiune a
etajului diferenial e liniara atunci cnd tensiunea de intrare vrf la vrf este cel mult 2U
T
~ 50mV. Pentru o tensiune diferenial de intrare nula , tensiune diferenial de ieire
este tot nula ceea ce permite cuplarea directa in cascada a etajelor difereniale. Pentru
tensiuni de intrare vrf la vrf mai mari dect 4U
T
~ 100mV , aa cum se observa din
Fig.6.5.c, curenii de colector devin independeni de intrare si intre +E
C
si E
E
curentul
trece practic printr-un singur tranzistor.
117


Extinderea domeniului tensiunilor pentru care etajul se comporta liniar se
realizeaz prin introducerea unor rezistente numite de degenerare in emitoarele celor
doua tranzistoare (Fig. 6.6.a).

Fig. 6.6

Aa cum indica caracteristicile de transfer din Fig. 6.6 b, extremele domeniului
tensiunilor de intrare pentru care etajul se comporta liniar devin aproximativ I
o
R
E
, in
schimb se reduce amplificarea in tensiune a etajului. In cazul in care sarcina nu se
concentreaz intre colectoarele tranzistoarelor T
1
si T
2
ci intre unul dintre colectoare si
masa (Fig.6.7.a) se obine un etaj cu intrare diferenial si ieire asimetrica. Intrarea
corespunztoare bazei lui T
1
se numete neinversoare ( semnalul de ieire e in faza cu
semnalul aplicat pe aceasta intrare ). Reprezentarea simbolica a unui amplificator
operaional este cea din Fig. 6.7.b unde cu E s-a notat tensiunea bipolara de alimentare
iar cu U
o
tensiunea de ieire.
Pentru a caracteriza funcionarea dinamica a amplificatoarelor operaionale este
util s se defineasc doua categorii de semnale : de mod comun si de mod diferenial.
Tensiunea de intrare in mod diferenial este :
U
id
= U
i1
U
i2
(6.7)
Iar tensiunea de intrare in mod comun este data de relaia :
U
imc
=
2
2 1 i i
U U +
(6.8)
118

In cazul unei tensiuni de intrare pur difereniale, tensiunile la cele doua intrri sunt
egale ca modul si opuse ca faza U
i1
= - U
i2
= U
id
/2 iar in cazul unei tensiuni

de intrare de
mod comun pur tensiunile la cele doua intrri sunt egale si in modul si in faza

U
i1
= U
i2
= U
imc
.
In general tensiunea de intrare au o componenta diferenial si una de mod
comun a cror expresie rezulta din (6.7) si (6.8) astfel :
U
i1
=
imc
id
U
U
+
2
; U
i2
= -
imc
id
U
U
+
2
(6.9)
Conform (6.9) in Fig. 6.7.c. este reprezentat amplificatorul operaional cu
semnele de intrare in componentele de mod diferenial si componenta de mod comun.
Performantele amplificatoarelor operaionale sunt apreciate in cataloage printr-o
serie de parametri dintre care cei mai importani sunt definii in continuare .
Amplificarea difereniala in bucla deschisa (fr reacie) este definite ca variaia
tensiunilor de ieire raportata la variaia tensiunilor de intrare pur difereniale:
A=
id
U
U
0
; U
id
=0 (6.10)
Valoarea tipica a amplificrii difereniale pentru un amplificator operaional
integrat este 10
4
10
6
.
Amplificarea de mod comun in bucla deschisa este raportul dintre variaia
semnalului de ieire si variaia semnalului de intrare in mod comun pur:
A
mc
=
imc
U
U
0
;U
id
=0 (6.11)
Factorul de rejectie de mod comun CMMR (Common Mode Rejection Ratio) se
definete ca fiind raportul dintre amplificarea difereniala in bucla descrisa si
amplificarea de mod comun :
CMMR=
mc
A
A
; CMMR= 20log
mc
A
A
[dB] (6.12)
Factorul de rejectie de mod comun are valori tipice cuprinse intre 70 si 80 dB.
Relaiile (6.10) si (6.11) permit exprimarea tensiunii de ieire funcie de componentele
difereniale si respectiv de mod comun ale tensiunii de intrare :
U
0
= AU
id
+ A
mc
U
imc
= A(U
i1
-U
i2
) + A
mc
2
2 1 i i
U U +

Curentul de polarizare la intrare este valoarea medie a celor doi cureni de intrare
I
B1
si I
B2
(Fig.6.7.a) atunci cnd tensiunea de ieire este nula :
I
B
=
2
2 1 B B
I I +
;U
0
=0 (6.13)
Valoarea tipica a curentul de polarizare este cuprinsa intre 10 si 100 mA.
Impedana de intrare difereniala Z
id
este raportul dintre tensiunea de intrare pur
difereniala si curentul diferenial de intrare :
Z
id
=
2 1 B B
id
I I
U

;U
im
=0 (6.14)
Deoarece la frecventele de lucru capacitatea de intrare in mod diferenial este
neglijabila, in cataloage se indica rezistenta de intrare cu valori tipice de sute de KO la
zeci de MO.
119
Impedana de intrare de mod comun Z
inc
este definita ca fiind raportul dintre
variaia tensiunii de intrare si variaia curentului de intrare, msurata pe fiecare
intrare/separat fata de masa, cu condiia ca cealalt intrare sa fie conectata la masa din
punct de vedere alternativ.
Schema echivalenta a impedanei de intrare din punct de vedere al semnalului,
pentru un amplificator operaional este redata in Fig.6.7.d



Datorita nemperecherii perfecte a componentelor din etajele amplificatoarelor
operaionale tensiunea la ieirea acestora este diferita de zero atunci cnd ambele intrri
sunt scurtcircuitate la masa. Tensiunea de decalaj ( offset )la intrare U
i0
reprezint
tensiunea ce trebuie aplicata pe una din intrri cnd cealalt intrare este conectata la masa
pentru a aduce tensiunea de ieire la 0 ( Fig. 6.7.e ). Valoarea tipica a tensiunii de decalaj
la intrare e cuprinsa intre 0,5 si 10 mV. Impedana de ieire Z
0
este raportul dintre variaia
tensiunii de ieire cu valori tipice intre 10 si 1000 O.
Viteza de variaie a semnalului de ieire SR ( slow rate ), reprezint variaia
tensiunii de ieire a unui amplificator operaional in bucla nchis raportata la durata in
care s-a produs, atunci cnd la intrare se aplica un semnal treapta unitate. In cataloage
viteza de variaie a semnalului de ieire se indica pentru o valoare specificata a
amplificrii in tensiune cu reacie si are valori tipice intre 0,1 si 1000 V/s.
Banda in bucla deschisa , determinata prin frecventa maxima a sa, f
o
, se
definete ca fiind de gama de frecventa in interiorul creia amplificarea se reduce cu 3dB
fata de amplificarea maxima , sau altfel spus amplificarea nu scade sub 0,707 din
amplificarea la joasa frecventa.
Frecventa de taiere f
T
este frecventa la care amplificarea in bucla deschisa devine
unitara ( AdB ).
Schema echivalenta simplificata a amplificatorului operaional, in care se tine
seama de o parte din parametrii definii anterior, este reprezentata in Fig.6.8.a.
Amplificatorul operaional ideal a crui schema echivalenta este reprezentata in
Fig.6.8.b este caracterizat de urmtorii parametrii: amplificarea in bucla deschisa foarte
mare, rezistenta de intrare infinita, rezistenta de ieire nula, tensiunea de decalaj la intrare
nula si banda in bucla deschisa infinita.
120

Fig. 6.8

6.3. Aplicaii ale amplificatoarelor operaionale

In practica amplificatoarele operaionale se folosesc in circuite cu reacie, care
permit prelucrarea semnalelor de intrare dup o anumita relaie matematica. Pentru
simplificare , deducerea reaciei intre semnalul de ieire si cel de intrare pentru circuitele
elementare cu amplificatoare operaionale, se face considernd ca acestea din urma sunt
ideale, deci admit o schema echivalenta cu cea din Fig.6.8.b.
Amplificatorul operaional in montaj de amplificator neinversor este reprezentat
in Fig.6.9.a.
Tensiunea la ieirea amplificatorului operaional este :
u
0
= AU
d
unde pentru simplificare in notaia folosita pentru tensiunea diferenial de intrare s-a
omis indicele i.
Aplicnd teorema a doua a lui Kirchhoff pe ochiul de intrare rezulta:
U
d
= U
i
U
R1
Deoarece rezistenta de intrare diferenial R
id
este foarte mare, curentul la
intrarea inversoare i
B
~ 0 si deci i
1
= i
2
. Aplicnd teorema a doua a lui Kirchhoff pe ochiul
de ieire se obine :
i
1
=
2 1
0
R R
U
+

si deci : U
R1
=
0
2 1
1
U
R R
R
+

In final rezulta : U
0
= A ( U
i
- )
0
2 1
1
U
R R
R
+

Explicitnd raportul U
0
/U
i
din relaia de mai sus se obine amplificarea cu
reacie :
A
R
=
A
R R
R
A
U
U
i

+
+
=
2 1
1
0
1
(6.15)
Comparnd ( 6.15 ) cu relaia ( 2.69 ) ce exprima amplificarea unui amplificator
cu reacie se obine factorul de reacie :
121
| =
2 1
1
R R
R
+

corespunztor divizorului rezistiv format din R
1
si R
2
.
Deoarece amplificarea in bucla deschisa A este foarte mare din (6.15) se poate
exprima amplificarea amplificatorului neinversor prin :
A
R
~1+
1
2
R
R
(6.16)
Din (6.16) rezulta ca pentru amplificatorul neinversor semnalul de ieire este in
faza cu semnalul de intrare iar amplificarea depinde exclusiv de circuitul de reacie
format din R
1
si R
2
.
Un caz particular de amplificator neinversor este repetorul de tensiune (
Fig.6.9.b) caracterizat printr-o reacie negativa totala (R
2
=0 si R
1
=u). Pentru aceste valori
ale lui R
1
si R
2
din (6.16) rezulta A
R
~1 si U
0
~U
i
deci tensiunea de ieire o repeta pe cea
de intrare.

Fig. 6.9

Amplificatorul operaional in montaj de amplificator inversor este reprezentat in
Fig.6.9.c. Aplicnd teorema a doua a lui Kirchhoff pe ochiurile de intrare si respective de
ieire rezulta :
U
i
=i
1
R
1
U
d
;U
0
=i
2
R
2
U
d
.
Dar U
d
= U
0
/A si pentru A foarte mare U
d
~ 0 si din relaiile de mai sus se obine:
1
1
R
U
I
i
~ ;
2
0
2
R
U
I ~ ; (6.17)
Deoarece curentul la intrarea inversoare este neglijabil :
I
1
+i
2
= i
B
~ 0
nlocuind (6.17) in relaia de mai sus si explicitnd raportul u
0
/u
i
rezulta :
1
2
R
R
A
R
= (6.18)
Din (6.18) rezulta ca semnalul de ieire al amplificatorului inversor este in
antifaz cu semnalul de intrare iar amplificarea este data de raportul rezistentelor din
circuitul de reacie.
In Fig. 6.10 a. este reprezentata schema unui amplificator cu intrare diferenial
realizat cu amplificator operaional.Aplicnd principiul superpoziiei si folosind (6.16) si
(6.18) rezulta :
2 4
1
2
2
1
0
1
i R
u
R
R
u
R
R
u
|
|
.
|

\
|
+ = (6.19)
Deoarece curentul i
B
+
la intrarea neinversoare este neglijabil, R
3
si R
4
formeaz
un divizor de tensiune ideal deci :
122

1 4
4 3
4
i R
U
R R
R
U
+
=
nlocuind relaia de mai sus in (6.19) se obine :

2 1
1
2
4 3
4
1
2 1
0 i i
u
R
R
u
R R
R
R
R R
u
+
+
=
Daca in relaia de mai sus se egaleaz coeficienii lui U
i1
si U
i2
rezulta
R
2
/R
1
=R
4
/R
3
si in acest caz :
|
.
|

\
|
=
2 1
1
2
0 i i
u u
R
R
u (6.20)
O aplicaie interesanta a amplificatorului operaional o constituie sumatorul cu
coeficieni ponderai (Fig. 6.10.b).
Aplicnd teorema nti a lui Kirchhoff pentru nodul de la intrarea inversoare
rezulta :
I
1
+ i
2
+ + i
n
+ I = i
B
~ 0


Generaliznd (6.17) se poate scrie :

1
1
1
R
U
i
i
~ ;
2
2
2
R
U
i
i
~ ..
n
i
n
R
U
i
n
~ ,
R
U
i
0
~
si in final se obine :
|
|
.
|

\
|
+ + + =
n
i
n
i i
U
R
R
U
R
R
U
R
R
u ...
2 1
2 1
0
(6.21)
Daca in (6.21), R
1
= R
2
= = R
n
= R , tensiunea de ieire este chiar suma cu
semn schimbat a tensiunilor de intrare :
U
0
= - (u
i1
+ u
i2
+ + u
in
)
Iar daca R
1
= R
2
= = R
n
= nR circuitul sumator determina valoarea medie cu semn
schimbat a tensiunilor de intrare :

n
u u u
u
n
i i i
+ + +
=
...
2 1
0

In Fig. 6.10 c este reprezentat un circuit de integrare cu amplificator operaional.


Aa cum s-a artat anterior :

R
U
R
U U
i
i d i
R
~
+
=
si deoarece U
0
= U
c
+U
d
~ U
c
se poate scrie :
123
dt
du
c i
c
0
= (6.22)
rezulta :
0
0
~ +
dt
du
c
R
u
i

In final se obine relaia dintre tensiunea de ieire si cea de intrare :
dt u
RC
i
U
i
}
~
0
(6.23)
Amplificatorul operaional in montaj de circuit de derivare este reprezentat in
Fig. 6.11.a. Curenii prin rezistenta si respective condensator sunt:

R
U
R
U U
i
d
R
0 0
~
+
= ;
( )
dt
du
dt
U U d
c i
i d i
c
~
+
=
si innd seama de (6.22) se obine :
0
0
~ +
dt
du
c
R
U
i

de unde in final rezulta relaia dintre tensiunea de ieire si tensiunea de intrare :
dt
du
RC U
i
=
0
(6.24)
Diferena i
B
+
-i
B
-
a curenilor de intrare ( de polarizare ) ai amplificatorului
inversor din Fig.6.9.c. determina apariia la ieire a unei tensiuni de decalaj ce se
suprapune peste aceea datorata asimetriilor interne. Deoarece curentul de intrare al bornei
inversoare parcurge rezistentele R
1
si R
2
influenta curenilor de polarizare se poate
minimiza prin conectarea unei rezistente de colecie R
c
=R
1
/R
2
aa cum se indica in
Fig.6.11.b.




O posibilitate de a compensa simultan pentru un amplificator inversor att
influenta curenilor de polarizare cat si tensiunea de decalaj la intrare(Fig.6.7.e) este data
de schema din Fig.6.11.
Influenta curenilor de polarizare se minimizeaz prin alegerea rezistentelor R
3
si
R
4
astfel incit :
Compensarea tensiunii de decalaj la intrare u
0 i
se face de la o sursa externa de
compensare E
C
prin intermediul pontentiometrului. Rezistenta R
S
se alege astfel incit
sa ndeplineasc condiia :
124
R
5
>>R
3
+R
4

pentru ca i
+
B
s simt spre mas doar rezistena dat de (6.25). Valoarea rezistenei
poteniometrului Rp se alege astfel nct curentul ce o strbate s fie cu un ordin de
mrime mai mare dect curentul de polarizare i
+
B
al intrrii neinversoare.



6.4. Comparatoare i stabilizatoare

Comparatoarele sunt circuite care semnalizeaz prin mrimea de ieire dac una
dintre mrimile de intrare este mai mare sau mai mic dect mrimea cealalt de intrare,
considerat de referin. Mrimea de ieire poate lua deci doar dou valori care pot fi
puse n coresponden cu nivelele logice 1
L
i 0
L
proprii circuitelor integrate numerice.
Din punct de vedere constructiv i funcional comparatoarele se aseamn cu
amplificatoarele operaionale astfel nct n aplicaiile nepretenioase un amplificator
operaional poate fi folosit drept comparator i reciproc. Totui n comparaie cu
amplificatoarele operaionale, comparatoarele prezint unele particulariti:
- nivelul mrimilor de ieire (curent sau tensiune) este compatibil cu circuitele
logice pe care le comand;
- funcionarea n bucl deschis (fr reacie): lipsa reaciei asigur pentru
comparatoare o band larg i deci o caracteristica de transfer mai abrupt fa de
amplificatoarele operaionale;
- posibilitatea autorizrii funcionrii (inhibrii semnalului de ieire) printr-o
comand exterioar (strobe).
Schema simbolic a unui comparator este asemntoare cu cea a unui amplificator
operaional i se prezint n Fig.6.12.a. Performanele comparatoarelor se apreciaz n
cataloage printr-o serie de parametrii dintre care cei mai importani sunt definii n
continuare.
Rezoluia U
R
reprezint tensiunea de intrare diferenial minim necesar pentru a
determina o decizie logic la ieire. Rezult c acest parametru se definete funcie de
caracteristic de transfer a familiei de circuite logice comandate de ieirea
comparatorului. Pentru un circuit TTL aceast caracteristic de transfer este reprezentat
n Fig.6.12.b. valoarea tensiunii dispuse la mijlocul intervalului dintre nivelul logic 0
L
se
numete tensiune de prag U
P
.
Pentru familia TTL tensiunea de prag este de 1,4V. Este logic ca atunci cnd
tensiunea diferenial la intrarea comparatorului este nul ieirea s fie egal cu tensiunea
de prag. Relaia de definiie a rezoluiei este deci:
U
R
=
A
U
P
(6.26)
unde A este amplificarea n bucl deschis a comparatorului.

125


a)



b)


Tensiunea de decalaj U
ID
este tensiunea care trebuie aplicata la intrare pentru ca
ieirea s fie egal cu tensiunea de prag a circuitului logic comandat. n Fig.6.12 c cu
linie punctat se reprezint caracteristica de transfer a unui comparator real a crui
tensiune de ieire este U
P
pentru tensiunea diferenial de intrare egal cu U
ID
i nu
126
pentru U
id
=0. Tensiunea maxim de intrare n mod diferenial U
idM
este valoarea
maxim a tensiunii difereniale care nu distruge circuitul de intrare al comparatorului.
Timpul de rspuns t
R
este intervalul de timp scurs ntre aplicarea unui semnal
treapt de tensiune la intrare i momentul n care tensiunea de ieire atinge valoarea de
prag a circuitului logic comandat.
Dou dintre comparatoarele integrate larg folosite sunt LM339 (M339) i A711
(CLB2711), n paranteze fiind notate codurile productorilor interni. Circuitul M339
conine patru comparatoare independente (Fig.6.13.a) proiectate special pentru a avea o
rezoluie TTL foarte bun (U
R
=50V) dar un timp de rspuns relativ mare (t
r
=1,3s).
Alimentarea se face de la o singur surs de tensiune ntre 2 i 36V sau de la dou
surse simetrice n gama de 1 la 18V iar tensiunea de decalaj U
ID
=5mV. O aplicaie
tipic pentru care se folosete un singur comparator din circuitul M339 este ilustrat n
Fig.6.13.b. Aceast schema permite compararea unui semnal de intrare valabil n timp cu
o tensiune de referin fix, obinut printr-un divizor chiar de la tensiunea de alimentare
(Fig.6.13.c.).
Tensiunea de ieire a comparatorului este dat de relaia:

U
OM
dac U
i
< U
ref

U
0
= cu E
R R
R
U
ref

+
~
2 1
2

U
om
dac U
i
> U
ref


Adic aa cum rezult din caracteristica de transfer din Fig.(6.12), ieirea este
maxim U
OM
cnd tensiunea de intrare diferenial U
id
=U
ref
-U
i
este pozitiv i minim
U
Om
cnd U
id
este negativ.
Circuitul CLB 2711 conine dou comparatoare Fig.6.14.a. proiectate n vederea
minimizrii timpului de rspuns (t
r
=40ns0 cu preul reducerii rezoluiei TTL (U
R
=2mV).
Alimentarea se face de la dou surse asimetrice +12V i 6V; tensiunea diferenial
de intrare maxim U
idM
= 5V iar tensiunea de decalaj este U
ID
=3,5mV. Cele dou
comparatoare sunt conectate prin intermediul a dou pori ce realizeaz funcia logic SI,
la o poart SAU a crei ieire reprezint ieirea comparatorului dublu CLB 2711.
Intrrile de eantionare (trobare) 1 i 2 acioneaz asupra circuitului astfel:
- dac ambele intrri de eantionare sunt n 0
L
(practic la mas), ieirea circuitului
este n 0
L
;
- dac o singur intrare de eantionare este n 1
L
(practic U
eantionare
>3V); ieirea
circuitului coincide cu ieirea comparatorului corespunztor;
- dac ambele intrri de eantionare sunt n 1
L
ieirea circuitului poate fi 1
L
sau 0
L

funcie de semnalele de intrare u
i1
i u
i2
raportate la mrimile de referin U
ref1
i U
ref2
ale
celor dou comparatoare.
O aplicaie tipic a circuitului CLB 2711 este comparatorul cu fereastr
(Fig.6.14.b.) denumit i discriminator de interval i care semnalizeaz prin tensiunea de
ieire U
om
dac tensiunea de intrare se gsete ntre dou valori pre4stabilite U
refM
i U
refm

(Fig.6.14.c).





127
a)

b)



c)



Fig.6.13



128
a)
1 2 3 4 5 6 7
8 9 10 11 12 13 14
STROB1
STROB2
MASA
IEIRE
+E
-E
- + + -
b)

c)




Fig.6.14
129

O alt categorie de circuite integrate liniare larg folosite n practic este cea a
stabilizatoarelor electronice. n Fig.6.15.a. se indic schema bloc a stabilizatorului de
tensiune integrat ROB 723 ce conine:
- etajul de formare a tensiunii de referin de 7,15V format dintr-o diod Zenner, o
surs de curent constant de polarizare a diodei Zenner i amplificatorul de referin;
tensiunea de referin e disponibil utilizatorului i poate fi micorat cu ajutorul unui
divizor rezistiv exterior;
- amplificatorul de eroare cu intrare diferenial i ieire asimetric cu amplificare
n bucl deschis de 60dB;
- tranzistor regulator seria T
S
avnd un curent maxim de 150mA; n colectorul su
se aplic tensiunea de alimentare, iar din emitor se culege tensiunea stabilizat. Dioda
Zenner din emitorul lui T
S
furnizeaz la terminalul U
Z
un nivel de tensiune translatat cu
6,2V fa de tensiunea de ieire U
0
;
- tranzistorul de protecie T
P
; acesta se deschide atunci cnd curentul de ieire al
stabilizatorului ce strbate rezistena conectat extern ntre bornele CL (curent limit) i
CS (curent sense), depete o valoare prestabilit; deschiderea lui T
P
determin scderea
curentului n baza lui T
S
i deci limitarea curentului su de colector; ntre terminalul
COMP din colectorul lui T
P
i intrarea inversoare a amplificatorului de eroare se
conecteaz un condensator exterior cu valoarea tipic de 100pF pentru compensare n
frecven.
Principalele caracteristici electrice ale stabilizatorului ROB 723 sunt urmtoarele:
- tensiunea de alimentare maxim ntre +E i E este 40V;
- domeniul tensiunii de ieire este de la 2 la 37V;
- stabilizarea n sarcin este 0,1%U
0
;
- tensiunea de referin U
ref
=7,15V;
- curentul de ieire fr tranzistor serie extern 150mA; pentru cureni de ieire mai
mari se poate conecta un tranzistor serie extern de putere comandat de obicei prin
intermediul terminalului U
Z
(Fig.6.15.a.).
O schem tipic de aplicaie a circuitului ROB 723 (Fig.6.15.b.) este cea de
stabilizator de tensiune pozitiv sczut (U
0
=27V).
a)




130
b)


Fig.6.15


Aa cum se observ din Fig.6.15.b., tensiunea de referin U
ref
=7,15V se aplic la
intrarea neinversoare a amplificatorului de eroare prin intermediul divizorului format din
R
1
i R
2
. tensiunea de ieire a stabilizatorului va fi n acest caz:
U
0
=
ref
U
R R
R
*
2 1
2
+

Rezistena de scurtcircuit R
SC
se conecteaz ntre baza i emitorul tranzistorului de
protecie T
P
i se determin din relaia:
I
OM
=
SC
BE
R
U

unde I
OM
este curentul maxim admis la ieire, iar U
BE
=0,6V este tensiunea de deschidere
a tranzistorului de protecie.
Rezistena dintre emitorul lui T
P
i intrarea inversoare a amplificatorului de eroare
se alege de valoare R
3
=R
1
llR
2
pentru a reduce influena curenilor de polarizare
(Fig.6.11.b).


6.5. Circuite logice bipolare

Un loc aparte n cadrul circuitelor logice realizate in tehnologie bipolar l ocup
familia circuitelor TTL (Tranzistor-Tranzistor-Logic; logic tranzistor-tranzistor).
Poarta fundamental cu ajutorul creia se poate genera orice funcie logic este n
aceast familie poarta I-NU, poarta fiind deci un circuit care implementeaz o anumit
funcie logic.
131
Definitorii pentru fiecare familie de circuite logice sunt nivelele logice adoptate
pentru 0
L
i 1
L
i tipul de logic (pozitiv sau negativ) adoptate.
Familia TTL folosete logica pozitiv iar nivelele logice sunt ilustrate in Fig.6.16.a.,
indicii folosii avnd urmtoarea semnificaie: I (imput-intrare), 0 (output-ieire), L (low-
jos, corespunde lui 0
L
n logica pozitiv), H (high-inalt, corespunde lui 1
L
n logica
pozitiv).
Pentru circuitul de comanda se indic tensiunile de ieire maxim garantat n stare
jos U
OLmax
i respectiv minim garantat n stare sus U
OHmin
iar pentru circuitul comandat
tensiunile de intrare maxima permisa n stare jos U
ILmax
i respectiv minim permis n
stare sus U
IHmin
. Tensiunea de alimentare nominal a circuitelor TTL este U
CC
=5V. Se
asigur deci compatibilitatea ntre circuite n sensul c o tensiune de ieire a porii de
comand este recunoscut cu o margine de zgomot de 0,4V de poarta comandat. n
Fig.6.16.b. sunt reprezentate simbolul i respectiv tabelul de adevr al porii I-NU iar n
Fig.6.16.c. se red schema bloc i conFiguraia terminalelor circuitului TTL tip CDB 400
ce conine patru pori I-NU cu dou intrri.

a)




b)



c)


A B A*B A*B
0 0 0 1
0 1 0 1
1 0 0 1
1 1 1 0
132

Fig.6.16

n Figura 6.17 a. este reprezentat schema electric a porii TTL I-NU cu dou
intrri, iar n Fig.6.17.b. caracteristica de transfer a acesteia, precum i un tabel ce indic
starea n care se afl cele patru tranzistoare din compunerea porii n diverse puncte ale
caracteristicii.


a)



b)



FIGURA 6.17


Schema porii TTL cuprinde urmtoarele elemente de circuit active:
- tranzistorul multiemitor T
1
, care realizeaz practic funcia I;
- tranzistorul de comand T
2
;
- tranzistoarele T
3
i T
4
comandate n contratimp de T
2
;
- diodele D
1
i D
2
de limitare a salturilor negative ce apar datorit reflexiilor de
neadaptare pe liniile de transmisie;
- dioda D
3
destinat s mpiedice intrarea n conducie a lui T
4
cnd T
3
este saturat.
Pentru a arta c circuitul din Fig.6.17.a. implementeaz funcia I-NU se
analizeaz funcionarea acesteia n dou situaii: ambele intrri au un potenial de 2V
T
1
T
2
T
3
T
4

AB s b b s
BC s c b-c c
CD c c c c-b
DE c
i
c s b
133
(valoarea minim admisibil la intrare pentru 1
L
), cnd ieirea trebuie s fie 0
L

(potenialul maxim de 0,4V) i respectiv cel puin una din intrri are un potenial de 0,8V
(valoarea maxim admis la intrare pentru 0
L
), cnd ieirea trebuie s fie n 1
L
(potenial
minim 2V). Cnd ambele intrri A i B sunt la 2V (1
L
) funcionarea este urmtoarea:
- tranzistorul T
1
se gsete n conducie invers; de la U
CC
=5V prin R
1
se asigur n
baza lui T
1
o tensiune de 2V i deci ambele jonciuni emitor-baz ale lui T
1
sunt
polarizate invers; de la U
CC
prin R
2
se asigur n colectorul lui T
1
o tensiune de 1,4V i
deci jonciunea baz-colector a lui T
1
este polarizat direct; ambele intrri ale circuitului
absorb un curent I
IH
de la ieirile circuitelor de comand;
- tranzistorul T
2
conduce datorit curentului injectat n baza sa de T
1
, asigurnd prin
curentul de emitor o tensiune de 0,7V pe R
4
i o tensiune redus n colector;
- tranzistorul T
3
este n conducie datorit potenialului de 0,7V din baza sa;
tensiunea lui n colector este maxim 0,4V (0
L
); ieirea circuitului absoarbe un curent I
OL

de la intrarea circuitului comandat;
- tranzistorul T
4
e blocat deoarece tensiunea din colectorul lui T
2
nu este suficient
pentru a deschide i jonciunea baz-emitor a lui T
2
i dioda D
3
.
Funcionarea porii este aceeai i atunci cnd ambele intrri A i B sunt n gol
(neconectate). n acest caz jonciunea E-B a lui T
1
este blocat n schimb jonciunea B-C
e deschis i curentul injectat n baza lui T
2
l deschide pe acesta. O intrare n gol se
comport deci ca i cnd ar fi conectat la nivelul 1
L
.
Dac cel puin una din intrri, de exemplu A din Fig.6.17.a. este la 0,8V (0
L
)
funcionarea este urmtoarea:
- tranzistorul T
1
intr n conducie direct; jonciunea emitor-baz a lui T
1
este
polarizat direct cu 0,8V n emitor i 2,1V n baz; dup intrarea n conducie a lui T
1

potenialul n baz va scade la 0,9V datorit cderii de tensiune pe jonciunea emitor-baz
aflat n conducie;
- tranzistorul T
2
se blocheaz deoarece tensiunea n colectorul lui T
1
scade la 0,4V;
- tranzistorul T
3
se blocheaz deoarece curentul de emitor a lui T
2
este neglijabil i
cderea de tensiune pe R
4
este practic nul; tensiunea lui n colector este de cel puin
2,4V (1
L
);
- tranzistorul T
4
conduce deoarece potenialul de 4,7V din colectorul lui T
2
deschide
att jonciunea baz-emitor a lui T
4
ct i dioda D
3
; ieirea circuitului debiteaz un curent
I
OH
egal cu curentul de emitor al lui T
4
n stare de conducie.
Caracteristica de transfer din Fig.6.17 b. este obinut n condiiile n care una din
intrrile porii este n 1
L
, iar la a doua intrare se aplic o tensiune cresctoare de la 0V.
Pentru U
i
<0,6V (poriunea AB a caracteristicii) starea celor patru tranzistoare din schem
e indicat de linia AB a tabelului i anume T
1
i T
4
n saturaie iar T
2
i T
3
blocate,
tensiunea de ieire fiind mare. Cnd 0,6<u
i
<1,2V (poriunea BC a caracteristicii) T
2

ncepe s conduc, T
4
iese din saturaie dar rmne n conducie iar T
3
ncepe s ias din
blocare, ceea ce determin scderea lent a tensiunii U
0
la ieirea porii. Pentru
1,2<u
i
<2V (poriunea CD a caracteristicii de transfer) T
1
i T
2
se menin n conducie, T
4

trece din conducie n blocare iar T
3
aflat n stare de conducie puternic determin o
scdere abrupt a tensiunii de ieire. Pentru u
i
>2V ambele intrri sunt n 1
L
, T
4
se
blocheaz iar T
3
aflat n saturaie impune o tensiune de ieire constant la nivel mic
(poriunea DE a caracteristicii). Performanele circuitelor logice sunt apreciate printr-o
serie de parametrii dintre care cei mai importani se prezint n continuare.
Un parametru ce caracterizeaz imunitatea la perturbaii a circuitului logic este
marginea de zgomot, definit prin diferena dintre valorile tensiunilor admise la intrare i
134
tensiunile garantate la ieire pentru cele dou stri logice. Aa cum rezult din Fig.6.16 a.
marginile de zgomot ale circuitelor TTL sunt:
M
ZL
=U
ILmax
-U
OLmax
=0,8-0,4=0,4V
M
ZH
=U
Ihmin
-U
OHmin
=2-2,4=-0,4V (6.27)
n concluzie pentru circuitele TTL marginea de zgomot n curent continuu este de
0,4V pentru ambele nivele logice.
Consumul de curent al unei pori la intrare se numete unitate de sarcin. Pentru
poarta TTL I-NU curentul de intrare maxim debitat n starea jos, corespunztor
conduciei directe a lui T
1
din Fig.6.17.a. este I
IL
=1,6mA, iar curantul de intrare maxim
absorbit n stare sus cu T
1
n conducie invers este I
Ihmax
= 40 A. Stabilirea unor reguli
de interconectare a circuitelor logice impune cunoaterea curenilor de ieire minimi ai
porii TTL pentru cele dou nivele logice i anume curentul I
Olmin
=0,8mA debitat n stare
sus de tranzistorul T
4
.
Capacitatea maxim de ncrcare a ieirii (FAN-OUT), reprezint numrul N
maxim de intrri care pot fi comandate simultan de ieirea unei pori. innd seama de
valorile curenilor de ieire i de intrare prezentate anterior se obine:
N
L
= 10
6 , 1
16
max
min
= =
mA
mA
I
I
IL
OL
; N
H
= 20
04 , 0
8 , 0
max
min
= =
mA
mA
I
I
IH
OH

Nivelul 0
L
fiind limitativ rezult c fan-out-ul garantat al familiei TTL este 10.
Performanele dinamice ale circuitelor logice sunt apreciate prin intermediul timpilor de
comutare i propagare definii n Fig.6.18 a. Prin convecie, timpii de tranziie (comutare)
din starea jos n starea sus (timpul de cretere) i respectiv din starea sus n starea jos
(timpul de cdere) se definesc ntre 0,1 i 0,9 din amplitudinea maxim U
M
i pentru
familia TTL au valori tipice t
TLH
=8ns i t
THL
=5ns. Timpii de propagare se definesc ca
fiind intervalul dintre nivelele de 1,5V ale semnalului de intrare i de ieire. Pentru poarta
TTL valoarea tipic a timpului de propagare la tranziia sus-jos a semnalului de ieire este
t
pHL
=8ns, iar pentru tranziia jos-sus la ieire valoarea tipic este t
pLH
=18ns. Timpul de
propagare al semnalului prin poart este un indicator sintetic al vitezei de comutare a
acesteia i se definete prin relaia:
t
p
=
2
pLH pHL
t t +

Pentru familia TTL timpul de propagare are valoarea tipic t
p
=10ns. Creterea
sarcinii capacitive a porii duce la mrirea timpului de propagare. Un alt parametru
important al unei familii logice este puterea consumat pe poart.
Iniial se determin pentru circuitul CDB 400 din Fig.6.16 c. curentul consumat de
la sursa U
CC
de ntregul circuit atunci cnd cele patru pori sunt n 0
L
i anume
I
CCL
=12mA i respectiv n 1
L
i anume I
CCH
=4mA. Consumul de curent mai mare cu
ieirea n 0
L
fa de cazul cnd aceasta este n 1
L
; se datoreaz n principal conduciei n
primul caz i blocrii n al doilea caz al tranzistorului T
2
dinFig.6.17.a. Consumul
circuitului de definete atunci cnd la intrare se aplic impulsuri dreptunghiulare cu factor
de umplere de 50%. Rezult deci consumul de curent I
CC
=(I
CCH
+I
CCL
)/2=8mA i la
U
CC
=5V se obine puterea disipat de circuitul CDB 400 egal cu 40mW.
Rezult deci pentru familia TTL o putere disipat pe poart de 10mW. n catalog
pentru familia TTL comercial se mai specific valorile extreme permise pentru
tensiunea de alimentare i anume 4,75 i 5,25V i gama temperaturilor de lucru cuprins
ntre 0 i 70
0
C.
135
Pentru obinerea unor timpi de propagare mai buni i a unei imuniti la zgomote
crescute se recomand ca intrrile porilor TTL neutilizate s se conecteze la o tensiune
pozitiv corespunztoare nivelului 1
L
. O modalitate de realizare practic a acestei
recomandri o constituie conectarea intrrilor nefolosite la U
CC
printr-o rezisten de
1k. La fiecare rezistor pot fi conectate 25 de intrri nefolosite.
Dou sau mai multe pori I-NU standard nu pot avea ieirile conectate n paralel.
Dac se consider dou pori cu ieirile n paralel funcionarea este corect dac ambele
ieire sunt n 0
L
sau n 1
L
, n schimb dac una din ieiri este n 1
L
iar cealalt n 0
L
este
practic pus la mas tranzistorul T
3
al celeilalte pori. Aceasta determin ambalarea
termic a celor dou tranzistoare, deoarece curentul este eliminat doar de rezistena R
3
de
valoare mica (130).
O soluie posibil este eliminarea din schema porii TTL (Fig.6.17 a.) a
tranzistorului T
4
, diodei D
3
i rezistenei R
3
obinndu-se astfel aa numita poart cu
colectorul n gol. n acest caz tranzistorul T
3
nu mai are nici o sarcin intern i devine
posibil conectarea colectorului tranzistoarelor de tip T
3
din mai multe pori la o
rezisten de sarcin comun R
S
legat la U
CC
(Fig.6.16.b.). Conectarea n paralel a N
pori cu colectorul n gol realizeaz funcia logic i a ieirilor celor N pori fr a folosi
nici o alt poart logic. ntr-adevr, potenialul punctului comun al ieirilor porilor
corespunde nivelului 1
L
doar atunci cnd toate ieirile sunt n 1
L
; este suficient ca ieirea
unei singure pori s fie n 0
L
pentru ca tranzistorul T
3
alo acesteia s scurtcircuiteze la
mas punctul comun al ieirilor i potenialul acestuia s corespund nivelului 0
L
. Funcia
logic obinut prin conectarea porilor cu colector n gol se numete i cablat.
Pentru aplicaiile ce impun timpi de comutare foarte redui s-a realizat seria TTL cu
diode Schottky. La seria TTL standard timpul de propagare este de aproximativ 10ns
datorit faptului c tranzistorul comut ntre blocare i saturare aa cum se observ i n
tabelul din Fig.6.17.b. Pentru a reduce timpii de comutare trebuie evitat intrarea n
saturaie. O soluie posibil este folosirea unei diode Schottky (contact metal-
semiconductor) ntre colector i baza tranzistorului (Fig.6.18.c.). Se obine astfel un
tranzistor Schottky iar prin reacia negativ dintre ieire i intrare astfel introdus se evit
intrarea n saturaie a tranzistorului. Seria TTL cu diode Schottky permite obinerea unui
timp de propagare pe poart de 3ns cu preul creterii puterii consumate pe poart la
220mW. Pentru a permite conectarea ieirilor mai multor pori n paralel la o magistral
comun de date s-a introdus seria TTL cu 3 stri. Circuitul de ieire n contratimp (T
3
i
T
4
) al unei pori TTL poate fi n 0
L
(T
3
conduce i T
4
e blocat) sau n 1
L
(T
3
e blocat i T
4

conduce). Circuitul TTL cu 3 stri permite blocarea simultan a tranzistoarelor T
3
i T
4
i
realizarea unei stri de impedan mare folosind un terminal de autorizare a funcionrii
(enable).
n Fig.6.18.d. sunt reprezentate dou simboluri pentru poarta I-NU cu trei stri
precum i tabelele de adevr corespunztoare.

136




b) c) d)










FIGURA 6.18

Diferena dintre cele dou reprezentri ale porii i-NU cu trei stri este aceea c n
prima dintre ele simbolul inversorului (implementeaz funcia logic NU) este complet.
E A B C
0 0 0 1
0 0 1 1
0 1 0 1
0 1 1 0
1 X X Zmare
137
Din tabelul de adevr rezult c atunci cnd terminalul de autorizare este n 0
L

funcionare este similar celei a porii I-NU standard, iar atunci cnd acesta trece n 1
L

indiferent de intrrile A i B ieirea trece n stare de impedan mare. Ieirile n dou sau
mai multe pori logice cu trei stri se pot conecta n paralel cu condiia ca o singur
intrare de autorizare s fie n 0
L
la un moment dat.
Pentru aplicaii ce necesit o vitez de comutare foarte mare s-a realizat o familie de
circuite logice bipolare numit ECL (Emitor Coupled Logic logic cu cuplaj n emitor).
Poarta de baz a acestei familii este poarta (SAU)-(SAU-NU) a crei schem electric e
reprezentat n Fig.6.19.a.
Scheme porii ECL cuprinde urmtoarele structuri:
- un amplificator diferenial ( cu cuplaj n emitor); la intrarea corespunztoare lui T
2

se aplic o tensiune de referin U
R
iar cealalt intrare este dubl T
1
i T
l
1
i realizeaz
practic funcia SAU;
- sursa de tensiune de referin U
R
=-1,175V format din T
3
, D
1
, D
2
i R
1
, R
2
, R
3
;
- repetoarele pe emitor T
4
si T
5
se folosesc ca etaje de ieire datorit impedanelor
mici de ieire i curenilor de ieire mari.
Poarta se alimenteaz de la o singur surs negativ U
EE
=-5,2V. Nivelurile logice
tipice pentru familia ECL sunt U
H
=-0,75V i U
L
=-1,55V. Pentru simplificare se consider
iniial c etajul diferenial de intrare este format doar din T
1
i T
2
. Aa cum se observ din
Fig.6.5.b.
Daca la intrarea A se aplica tensiunea U
A
=U
H
=-0,75V>U
R
=-1,175 atunci T
2
este
practic blocat si tot curentul de emitor trece prin T
1
iar daca U
A
=-1,55V<U
R
=-1,175V
atunci T
1
este blocat si tot curentul de emitor trece practic prin T
2
.
Prin urmare comutarea semnalului de intrare din 1
L
in 0
L
sau invers determina
comutarea unui curent fix de la T
1
la T
2
si invers . Deoarece T
1
si T
2
nu lucreaz in
saturaie ,timpii de comutaie se reduc considerabil . In Fig. 6.19b se reprezint simbolul
si respectiv tabelul de adevr al porii ECL .
Tranzistorul T
2
poate conduce doar daca ambele tranzistoare T
1
si T
1
legate in paralel
sunt blocate adic daca la ambele intrri A si B se aplica 0
L
. In aceasta situaie tensiunea
in colectorul lui T
2
este de -0,8V iar in emitorul lui T
5
datorita cderii de tensiune pe
jonciunea baza - emitor a acestuia se obine tensiunea de -0,8-0,75=-1,55V
corespunztoare nivelului 0
L
. Este suficient ca la o singura intrare sa se aplice 1
L
,pentru
ca T
2
sa se blocheze , tensiunea sa in colector sa fie practic nula si in emitorul lui T
5
sa se
obin 0-0,75=-0,75V adic 1. Rezulta ca intr-adevr ieirea repetitorului pe emitor T
5

realizeaz funcia logica SAU . Familia ECL realizeaz timpi de propagare foarte mici de
la 1 la 4ns ,dezavantajul fiind puterea consumata pe poarta mai mare (aproximativ
25mW ) .
Un avantaj in plus al acestei familii l constituie existenta ieirilor complementare la
care se obin simultan funciile logice SAU si SAU-NU .Acesta se traduce printr-o
reducere a numrului de circuite necesare fata de cazul cnd ar fi disponibila o singura
ieire .
Pentru realizarea circuitelor bipolare cu densitate de integrare mare se folosete
familia II. L notata uneori si I
2
L (Integrated Injection Logic - logica integrata de injecie
). Circuitul de baza al familiei , care permite implementarea simpla a tuturor funciilor
logice este inversorul . In Fig.6.19 c este realizata schema electrica ,simbolul si tabelul de
adevr al unui inversor cu doua ieiri . Acesta se compune dintr-o sursa de curent
realizata cu tranzistorul pnp T
2
si un tranzistor npn multicolector T
1
care realizeaz
practic funcia logica NU .
138
Daca la intrarea A se aplica o tensiune U
l
<20 mV (corespunztoare lui 0 pentru U
cc

=1,5V ) de la ieirea etajului anterior , curentul tranzistorului T
2
este practic scurtcircuitat
la masa de tranzistorul de ieire aflat in conducie al etajului anterior , T
1
este blocat si
tensiunea sa de colector U
H
= 0,4 -0,8 (la U
CC
=1,5V ) corespunde nivelului 1.
Daca la intrare se aplica 1
L
( tranzistorul de ieire al etajului anterior este blocat )
curentul general de T
2
e injectat in baza lui T
1
care se deschide si tensiunea lui de
colector corespunde nivelului 0. Deoarece circuitul elementar se compune din doua
tranzistoare fr nici o rezistenta , aria ocupata de acesta este foarte mica si familia I IL
permite realizarea unei densiti de integrare comparabile sau superioare circuitelor MOS
. Timpul de propagare depinde practic invers proporional de curentul injectat in baza lui
T .Pentru un curent de injecie de 50 A rezulta t
P
=32ns iar valoarea limita obinuta
pentru cureni de injecie mai mari de 200 A este 200ns . Acest timp de propagare
relativ mare constituie principalul dezavantaj al familiei II L .


6.6 Circuite logice MOS

Circuitele logice integrate obinute prin tehnologia MOS reprezint o alternativa
avantajoasa pentru aplicaiile ce nu necesita viteza mare si pentru care consumul de
putere redus este imperios necesar .
Circuitele logice MOS se deosebesc in mod substanial de cele realizate cu
tranzistoare de tip " metal - oxid - semiconductor".
In Fig. 6.20. este prezentata structura simplificata a unui tranzistor MOS cu canal n .
Acesta consta din doua regiuni de tip n realizate prin difuzie intr-un substrat de siliciu de
tip p . In funcionare regiunea n pe care se aplica potenialul mai pozitiv se numete drena
iar cealalt regiune n se numete canal . Grila ,numita uneori si poarta este o pelicula
metalica (aluminiu ) dispusa intre drena si sursa si separata de canal printr-un strat
izolator de SO de unde si denumirea de structura metal - oxid - semiconductor .Conducia
prin canal este controlata de potenialul aplicat pe poarta .
Daca potenialul grila - sursa este negativ ( U
GS
<0),la suprafaa substratului intre sursa
si drena se acumuleaz purttori majoritari q iar electronii snt ndeprtai. Daca se
aplica in acest caz o diferena de potenial intre drena si sursa cu plusul pe drena nu se va
nchide nici un curent intre aceti doi electrozi. Daca potenialul grila-sursa devine
pozitiv dar mai mic dect valoarea U numita de prag. O<U
GS
<U, golurile majoritare din
substrat aflate intre sursa si drena snt respinse si regiunea rmne golita de purttori de
sarcina (curentul drena - surs rmne nul).Daca potenialul grila-sursa depete
tensiunea de prag U
GS
>U, in regiune sunt atrai purttori de tip n (electroni) si intre drena
si sursa se nchide un curent I care creste la creterea tensiuni U . Rezulta ca intre cele
doua insule de tip n a aprut un canal cu conducie tot de tip n (tipul de conducie s-a
inversat in canal). Caracteristica de transfer I
DS
=f a unui tranzistor MOS cu canal n este
reprezentata in Fig.6.20.
Valoarea tensiuni de prag U
T
este data de parametri de proces tehnologic
.Tranzistorul MOS cu canal q are o structura similara si se obine prin interschimbarea
zonelor de tip q si n. Comportarea tranzistorului MOS cu canal q este de asemeni similara
celei a tranzistorului MOS cu canal n inversndu-se polaritile tensiunilor si sensurile
curenilor. In Fig. 6.20.b sunt reprezentate simbolurile grafice si modul de polarizare
pentru tranzistoarele MOS cu canal n si respectiv q .
139
Evoluia tehnologica a circuitelor MOS a urmat calea: circuite PMOS(realizate cu
tranzistoare MOS cu canal q), circuite NMOS(realizate cu tranzistoare MOS cu canal n)
si circuite CMOS(complementary - symetry MOR realizate cu tranzistoare MOS cu canal
q si MOS cu canal n).
Indiferent de tehnologia folosita,circuitele MOS pot fi statice sau dinamice.
Circuitele MOS statice se caracterizeaz prin faptul ca informaia logica se transmite la
ieire in mod necondiionat. Circuitele MOS dinamice realizeaz funcia logica si o
transmit la ieire doar la momente determinate de un semnal | de tact. Acest mod de
funcionare determina o micare a puteri consumate si o oarecare cretere a vitezei de
lucru. Deoarece in prezent este cea mai rspndita, in continuare se va analiza mai pe
larg familia de circuite logice CMOS.
Seria CMOS 4000care se fabrica in tara are tensiunea de alimentare intre 3 si
18V iar tensiunea de prag este 1,5V.
La fel ca si pentru familia TTL ,datorita variaiilor produse de tolerantele
componentelor si de zgomot, nici pentru familia CMOS nu este posibila reprezentarea
valorilor logice 0
L
si 1
L
prin doua nivele unice de tensiune. Domeniile de tensiune
corespunztoare valorilor logice sunt reprezentate grafic in Fig.6.21a pentru U
DD
=5V si
se definesc prin relaiile:
U
DHmin
=U
DD
-0,05VV U
DLmax
=0,05V
U
IHmin
= 0,7U
DD
U
ILmax
=0,3U
Conform( 6.27.) rezulta pentru circuitele CMOS o margine de zgomot de aproximativ
30% din U
DD
:
M
ZL
=U
ILmax
-U
OLmax
=0,3U
DD
0,05~0,3U
DD

M
ZH
=U
IHmin
-U
DHmin
=0,7U
DD
U
DD
+0,05~-0,3U
DD

Pentru U
DD
= 5V se obine o margine de zgomot de 1,5V fata de 0,4V pentru familia
TTL . Imunitatea crescuta la zgomot este un alt avantaj important al familiei CMOS
.Circuitul fundamental al familiei CMOS este inversorul a crui schema electrica este
redata in Fig. 6.21.b.
In Fig. 6.21.c sunt prezentate simbolul si tabelul de adevr ale circuitului inversor .
Inversorul se compune din doua tranzistoare MOS unul cu canal n si celalalt cu canal p .
Conexiunea comuna a grilelor reprezint intrarea iar conexiunea comuna a drenelor
reprezint ieirea inversorului . Cele doua tranzistoare funcioneaz cu tensiuni de
polaritate opusa : astfel o tensiune pozitiva la intrare mai mare de 1,5 V (practic U
DD

pentru 1
L
l va bloca pe T
1
si l va deschide pe T
2
ceea ce face ca ieirea sa fie comutata la
o valoare sczuta (practic OV ) corespunztoare nivelului 0
L
si invers o tensiune pozitiva
sub 1,5V aplicata la intrare (practic OV pentru 0
L
),l va deschide pe T
1
si prin blocarea
lui T
2
va asigura la ieire o valoare ridicata de tensiune (practic U
DD
)corespunztoare
nivelului 0
L
. Rezulta ca in regim static intre u si masa nu exista o cale directa de curent
(cnd T
1
conduce T
2
e blocat si invers ) si deci puterea consumata de inversorul CMOS in
regim static este practic nula .
Perechea complementara de tranzistoare care realizeaz funcia logica NU(Fig.
6.21b)permite sa se obin simplu orice alta funcie logica. In Fig. 6.22a sunt reprezentate
schema electrica, simbolul si tabelul de adevr al porii SAU-NU. Aceasta este formata
din doua perechi de tranzistoare complementare, tranzistoarele MOS cu canal q T si T
fiind legate in serie iar cele cu canal n T si T fiind legate in paralel.
Grilele tranzistoarelor T
1
si T
3
sunt legate mpreuna si reprezint intrarea A pentru
poarta SAU-NU iar grilele lui T
2
si T
4
legate mpreun formeaz intrarea B a porii.
140
Pentru ca ieirea porii SAU-NU sa fie in 1
L
(U )trebuie ca att T
3
cat si T
4
sa fie
blocate deci ambele intrri A si B trebuie sa fie in O
L
(OY). In aceasta situaie T
1
si T
2

conduc si potenialul ieirii este practic U
DD
.Este suficient ca o singura intrare sa comute
in 1
L
pentru ca tranzistorul MOS cu canal q corespunztor sa se blocheze si sa ntrerup
calea de curent intre U
DD
si ieire iar tranzistorul MOS cu canal n corespunztor sa se
deschid si potenialul ieirii sa scad practic la OV(O
L
). InFig.6.22.b sunt reprezentate
schema electrica, simbolul si tabelul de adevr al porii SI-NU. De data aceasta
tranzistoarele MOS cu canal q sunt legate in paralel si cele cu canal n in serie. In acest
caz ieirea este in O(OV) doar atunci cnd ambele intrri A si B sunt in 1
L
: atunci T
1
si
T
2
sunt blocate si nu exista cale de curent intre U
DD
si ieire iar T
3
si T
4
sunt ambele in
conducie si potenialul ieirii este practic OV(O
L
).
Este suficient ca o singura intrare sa comute in O
L
pentru ca tranzistorul MOS cu
canal q corespunztor sa intre in conducie iar cel cu canal n corespunztor sa se blocheze
si potenialul ieirii sa devina practic U
DD
(1
L
).
In Fig.6.22.c sunt reprezentate schema electrica si simbolul unui circuit specific
familiei CMOS si anume poarta de transmisie. Aceasta consta dintr-o pereche
complementara de tranzistoare MOS conectate in paralel. Se comporta ca un comutator,
variabila logica A fiind intrarea de control. Tensiunile de intrare trebuie sa fie pozitive in
raport cu potenialul substratului tranzistorului MOS cu canal n (U
SS
), si negative in
raport cu potenialul U
DD
al substratului tranzistorului cu canal q dei U
SS
<U
I
<U
DD
.
Cnd A este1
L
(U
DD
)respectiv A in O
L
(U
SS
) poarta este
deschisa:tranzistorul T
1
este deschis daca U
SS
<U
I
<U
DD
-U iar T
2
daca U
SS
+U
T
<U
I

<U
DD
deci pentru U
SS
+U
T
<U
I
<U
DD
U
T
(U
T
=1,5V) sunt deschise ambele tranzistoare ;
conectarea in paralel a tranzistoarelor complementare face ca excursia de tensiune la
intrare sa nu fie limitata de tensiunea de prag U
T
; rezistenta in stare deschisa a porii este
de ordinul zecilor - sutelor de. Cnd A este 0 respectiv A in 1 ambele tranzistoare sunt
blocate si poarta este nchisa; intre intrare si ieire apare o rezistenta de ordinul sutelor de
M .
Circuitele CMOS prezentate anterior conin un numr mic de tranzistoare si nici un
element de circuit pasiv si de aceea aria de siliciu ocupata de ele este mica. Acest fapt
determina un alt avantaj al tehnologiei CMOS si anume densitate mare de integrare.
Aa cum s-a artat anterior, puterea consumata de o poart CMOS in regim static este
practic nula. In regim dinamic pentru un inversor de exemplu (Fig.6.21.b), pe durata
comutri acestuia dintr-o stare logica in alta pentru un timp foarte scurt conduc ambele
tranzistoare complementare. Puterea consumata de o poarta depinde deci de tensiunea de
alimentare a acesteia si de frecventa si durata fronturilor impulsurilor aplicate la intrare.
De exemplu pentru U
DD
=10V si pentru un semnal in impulsuri la intrare cu frecventa de
100WHz si fronturi de 20ns, puterea totala consumata de o poarta CMOS este de 50nW
deci cu peste doua ordine de mrime mai mica fata de poarta TTL.
Timpul de propagare al porii CMOS se calculeaz tot cu relaia (6.28), unde t
pHL
si
t
pLH
sunt definii in Fig. 6.18a. Timpul de propagare creste o data cu creterea sarcinii
capacitive a porii. De exemplu, o poarta CMOS alimentata la 10V si cu o sarcina
capacitiva de 50 F are un timp de propagare de aproximativ 66ns, deci sensibil mai mare
fata de poarta TTL.
Intrarea unui tranzistor MOS este practic un condensator (contactul metalic al grilei si
substratul semiconductor formeaz armaturile iar S
I
O
2
este dielectricul), de aceea
curentul absorbit la intrare de o poarta CMOS este practic nul. La prima vedere s-ar putea
spune ca ncrcarea la ieire a unei pori este practic nula si deci fan-out-ul este nelimitat.
141
Fiecare intrare CMOS conectata la o ieire CMOS reprezint o sarcina capacitiva de
aproximativ5pF care creste corespunztor timpului de propagare a semnalului. De aceea
principala limitare a capacitii de ncrcare a ieirii o constituie frecventa de lucru a
aplicaiei concrete. Pentru aplicaii necritice din acest punct de vedere se poate considera
ca circuitele CMOS au un fan-out egal cu 50.
Pentru a reduce influenta zgomotului si pentru a nu nruti timpul de propagare
intrrile porilor SI-NU se conecteaz la U
DD
iar cele ale porilor SAU-NU la U
SS
prin
rezistente avnd valori intre 10kr si 100kr .








































142



7 7. . N NO O I IU UN NI I D DE ES SP PR RE E M MO OD DU UL LA A I IA A S SE EM MN NA AL LE EL LO OR R

7.1 Noiuni introductive privind modulaia semnalelor

Pentru transmiterea semnalelor se utilizeaz canale de comunicaii cu fir sau fr
fir (transmisiile radio, de televiziune etc). n general semnalele transmise ocup o band
de frecven mult mai mic dect cea pe care o poate asigura canalul de telecomunicaii.
De exemplu semnalul vocal are un spectru de frecven sub 4 KHz n timp ce cablul
bifilar asigur o band de frecven de ordinul sutelor de KHz, cablul coaxial permite
transmiterea unei benzi de frecven de ordinul sutelor de MHz iar pentru cablul optic
banda de frecven este de ordinul sutelor de GHz.
Pentru a folosi la ntreaga capacitate un canal de telecomunicaii se impune
transmiterea simultan a mai multor semnale de band limitat pe acelai circuit fizic.
Acest lucru nu este posibil fr o interferen, ntre semnale, astfel nct la recepie ele ar
fi imposibil de separat.
Transmiterea simultan a mai multor semnale pe un acelai circuit fizic este
posibil prin folosirea modulaiei deoarece aceasta permite deplasarea n frecven a
spectrelor diverselor semnale evitndu-se astfel suprapunerea lor.
n teoria propagrii undelor electromagnetice se arat c, pentru ca un semnal s
poat fi emis cu un randament acceptabil, antena trebuie s aib o lungime de cel puin
1/10 din lungimea de und corespunztoare frecvenei minime din spectrul acelui semnal.
Lungimea de und f c cT / = = , corespunztoare unui semnal armonic se definete ca
fiind spaiul parcurs de frontul de und ntr-o perioad de oscilaie a semnalului.
Rezult c transmiterea semnalului vocal de exemplu ar necesita antene cu
lungimea de ordinul kilometrilor. Procesul de modulaie permite deplasarea spectrului
semnalului de transmis n domeniul frecvenelor nalte i deci folosirea unor antene cu
dimensiuni rezonabile.
n procesul de modulaie intervin urmtoarele semnale:
- semnalul x(t) ce conine informaia de transmis, numit semnal modulator;
- semnalul x
P
(t) asupra cruia se transfer informaia, numit purttor;
- semnalul x
M
(t) rezultat prin aciunea semnalului modulator asupra
purttorului, numit semnal modulat.
Modulaia const n stabilirea unei dependene, n general liniare ntre un
parametru al purttorului x
P
(t) i semnalul modulator x(t).
Este indicat ca aceast dependen s fie liniar pentru c n acest caz prin
operaia invers numit demodulare se poate obine simplu semnalul util x(t) din
semnalul modulat x
M
(t).
Dup natura semnalului purttor x
P
(t) exist:
- modulaie cu purttor armonic;
- modulaie cu purttor n impulsuri;
Semnalul purttor armonic este dat de relaia:

x
P
(t) = A
P
cos(O
P
t+u
P
) (7.1)

143
n care intervin trei parametri: amplitudinea A
P
, pulsaia O
P
= 2tf
P
i respectiv faza
iniial u
P
.
Fiecare din aceti trei parametri poate prelua variaiile semnalului modulator x(t)
de unde rezult urmtoarele tipuri de modulaie cu purttor armonic:
- modulaie de amplitudine (MA);
- modulaie de frecven (MF);
- modulaie de faz (MP).
Modulaia cu purttor n impulsuri folosete ca semnal purttor o succesiune de
impulsuri periodice ca cea din fig. 7.1.



Fig. 7.1 Purttor n impuls

n acest caz purttorul x
P
(t) este definit prin patru parametri: amplitudinea A
P
a
impulsurilor, frecven;a F
P
= 1/T
P
a impulsurilor, poiziia acestor impulsuri t
P
pe axa
timpului i durata
P
a acestora.
Corespunztor exist urmtoarele tipuri de modulaie cu purttor n impulsuri:
- modulaia impulsurilor n amplitudine (MIA);
- modulaia impulsurilor n durat (MID);
- modulaia impulsurilor n poziie (MIP);
- modulaia impulsurilor n frecven (MIF);
Modificarea frecvenei F
P
a impulsurilor implic modificarea poziiilor i deci
MIF poate fi considerat ca o MIP.
Specific pentru modulaia de impulsuri este faptul c oricare din cei patru
parametri ai purttorului x
P
(t) depinde de valorile pe care le ia semnalul modulator x(t)
presupus continuu (analogic) la momente discrete de timp n care sunt plasate impulsurile
purttorului.
Pentru a nu se pierde din informaia continu a semnalului modulator x(t) trebuie
ca perioada de recepie T
P
a impulsurilor purttorului s ndeplineasc condiia lui
Nyquist :
M
p
f 2
1
T s (7.2)

unde f
M
este frecvena maxim a spectrului semnalului modulator presupus de banda
limitat. n continuare, pentru tipurile de modulaie prezentate anterior se vor folosi
abrevierile cu majuscule din paranteze.

144
7.2 Modulaia de amplitudine cu purttor armonic

n cazul modulaiei de amplitudine cu purttor armonic amplitudinea instantanee a
semnalului modulat x
MA
(t) depinde liniar de semnalul modulator x(t) conform relaiei:
A(t) = A
P

+ K x(t) (7.3)

Rezult c semnalul modulat n amplitudine este:

x
MA
(t) = [A
P
+ Kx(t)] cos (O
P
t + u
P
) (7.4)

Fr a efectua calitativ rezultatele finale se poate considera u
P
= 0 caz n care
relaia (7.2) devine:

x
MA
(t) = [A
P
+ Kx(t)] cos O
P
t (7.5)

n fig. 7.2a sunt reprezentate un semnal modulator oarecare x(t), semnalul purttor
cosinusoidal, semnalul modulat n amplitudine pentru K = 1.
Se observ c informaia semnalului modulator x(t) se transfer anvelopei
semnalului modulat reprezentat punctat. Pentru reproducerea exact a formei semnalului
x(t) se impune ca:

AA = K max x(t)s A
P
(7.6)

Din (7.5) se obine funcia densitate spectral a semnalului MA:

( ) ( ) | | { } ( ) | |
| | | | ( ) | | ( ) | | t x e
K
t x e
K
e
A
e
A
e e
t Kx A t t Kx A X
t j t j t j p t j p
t j t j
p p p MA
p p p p
p p
+ + + =
=

+
+ = O + =
O O O O
O O
2 2 2 2
2
cos
F F F
F F e


innd seama de proprietile de deplasare a spectrului a transformatei Fourier:
| | ) ( ) (
0
0
1
F t x e X
t je
e e =


i relaia
| | ( )
0
2
0
F e e to
e
=
t j
e
n final se obine densitatea spectral a semnalului modulat n amplitudine:

( ) ( ) ( ) ( ) ( )
p p p p p p MA
X
2
K
X
2
K
A A X O + e + O e + O + e o t + O e o t = e (7.7)

n figura 7.2 sunt reprezentate formele de und i funciile densitate spectral ale
semnalului modulator si semnalului modulat n amplitudine.
Asocierea formelor de und x(t) i a densitilor spectrale X(e) din figura de mai
sus este convenional. Din relaia (7.7) rezult c reprezentarea n domeniul frecven a
semnalului MA conine purttoarea (cele dou impulsuri Dirac localizate la + O
P

corespund semnalului purttor cosinusoidal cu pulsaia O
P
) i dou benzi laterale
145
superioar i respectiv inferioar simetrice n raport cu purttoarea. n fiecare din aceste
benzi se regsete spectrul semnalului modular deosebirea fiind c n banda superioar
ordinea n frecven a componentelor este natural iar n banda inferioar este inversat.
Considernd semnalul modulator de band limitat B = e
2
- e
1
e
2
rezult c
semnalul MA ocup o band dubl B
MA
= 2e
2
. n figura 7.2 doar axa frecvenelor
pozitive are sens fizic i de aceea limea benzilor de frecven se determin pe aceast
ax. Modulaia realizat conform relaiei (7.4) este de tipul modulaiei de amplitudine cu
purttoare i dou benzi laterale notat prescurtat MA(P + 2BL).



Fig. 7.2 a) Semnaulul modulator b) semnalul modulat n amplitudine si densitile
spectrale ale c) semnalului modulator si d) semnalului modulat

Pentru a defini gradul de modulaie al unui semnal MA este util s se determine i
s se reprezinte grafic spectrul de amplitudini al semnalului x
MA
(t) atunci cnd semnalul
modulator este de tip cosinusoidal:

a
c
b
d
146
x(t) = a
0
cos e
0
t (7.8)

Plecnd de la (7.5) i folosind (7.8) semnalul MA devine:

x
MA
(t) = (A
P
+ Ka
0
cos e
0
t) cos O
0
t = A
P
(1 + m cos e
0
t) cos O
0
t (7.9)

n relaia de mai sus parametrul definit prin:

p
0
A
Ka
m = (7.10)

se numete grad de modulaie i pentru K i A
P
constante este proporional cu
amplitudinea semnalului modulator.
n figura 7.3 sunt reprezentate grafic semnalul modulator, purttoarea i respectiv
semnalul modulat n amplitudine.



Fig. 7.3 a) Semnal cosinusoidal modulator b) purttorul cosinusoidal si
c) semnal modualt n amplitudine

Din (7.8) rezult amplitudinile maxim i minim ale semnlului MA:

A
M
= A
P
(1+m)

A
m
= A
P
(1- m)

n funcie de care se poate exprima gradul de modulaie:

m M
m M
p
m p
p
p M
A A
A A
A
A A
A
A A
m
+

= (7.11)

Relaia (7.11) permite determinarea experimental a gradului de modulaie prin
vizualizarea pe osciloscop a semnalului MA.
Pentru a putea recupera semnalul x(t) prin detecie de anvelop condiia (7.6)
devine n acest caz:
m s 1 (7.12)
147

Din relaia (7.9) prin transformri simple rezult:

( ) ( ) ( )t cos
2
mA
t cos
2
mA
t cos A t x
0 p
p
0 p
p
p p MA
e + O + e O + O = (7.13)

i deci spectrul de amplitudini al semnalului MA cu modulator armonic conine o
component central de frecven i amplitudine egale cu ale purttoarei i dou
componente laterale de amplitudine m A
P
/2 dispuse simetric fa de componenta central
la frecvenele O
P
+ e
0
.
Reprezentarea spectrelor de amplitudini ale modulatorului, purttoarei i respectiv
semnalului MA este dat n figura 7.4.



Fig. 7.4 Spectrele de amplitudine ale a) semnalului modulator b) purttorului si c)
semnalului modulat n amplitudine

Aa cum se poate observa din figura de mai sus, banda de frecven B
MA
=2e
0
ocupat de semnalul MA este dubl fa de cea a semnalului modulator. Dac semnalul
modulator x(t) se transfer direct asupra amplitudinii purttorului, relaia (7.3) devine:

A(t) = A
P
K x(t) (7.14)
iar din (7.5) se obine:

x
MA
(t) = A (t)cos O
P
t = A
P
K x(t) cos O
P
t (7.15)

Modulaia de amplitudine realizat conform relaiei (7.15) se numete modulaie
de produs. Funcia densitate spectral corespunde semnalului MA de produs rezult
imediat:
( ) ( ) ( )
p
p
p
p
MA
X
2
KA
X
2
KA
X O + e + O e = e (7.16)

Comparnd relaiile (7.7) i (7.16) se observ c n spectrul de frecven al
semnalului MA de produs nu mai apare purttoarea.
Din acest motiv MA de produs se mai numete i MA cu purttoarea suprimat
notat prescurtat MA (2BL).
148
Pentru exemplificare se poate determina i reprezenta grafic spectrul de
amplitudini al semnalului MA de produs atunci cnd semnalul modulator este
cosinusoidal.
nlocuind relaia (7.8), ce definete semnalul modular n (7.14) se obine:

A(t) = A
P
K a
0
cos e
0
t
de unde rezult semnalul MA de produs:

x
MA
(t) = A
P
K a
0
cos e
0
t cos O
P
t (7.17)
Din (7.17) prin transformri trigonometrice rezult:

( ) ( ) ( )t cos
2
A Ka
t cos
2
A Ka
t x
0 p
p 0
0 p
p 0
MA
e + O + e O = (7.18)
Relaia de mai sus arat c spectrul semnalului MA de produs conine dou
componente laterale de amplitudine proporional cu produsul amplitudinilor
modulatorului i respectiv purttoarei dispuse simetric la pulsaiile O
P
+ e
0
iar
componenta corespunztoare purttoarei lipsete.
n figura 7.5 sunt reprezentate semnalul modulator, purttoarea i semnalul MA
de produs pentru cazul semnalului modulator cosinusoidal.



Fig. 7.5 Modulaia de produs cu modulator cosinusoidal
149

Din figura 7.5 rezult urmtoarele caracteristici ale MA de produs: nfurarea
semnalului MA nu reproduce forma semnalului modulator motiv pentru care
reconstituirea acestuia nu este posibil prin detecie de anvelop iar pentru a evita
discontinuitile semnalului MA se impune ca momentele de trecere prin zero ale
modulatorului i purttoarei s coincid
Din relaia (7.16) rezult c ambele benzi laterale ale semnalului MA de produs
reproduc spectrul semnalului modulator fr distorsiuni.
Prin urmare pentru reproducerea semnalului modulator este suficient
transmiterea unei singure benzi laterale. Banda lateral aleas poate fi selectat cu
ajutorul unui filtru trece banda la ieirea cruia se obine semnalul MA cu band lateral
unic, notat prescurtat MA (BLU).

7.3 Modulaia de frecven i de faz cu purttor armonic

Semnalul armonic dat de (7.1) este caracterizat de trei parametri constani n timp
i anume amplitudinea, frecvena i faza iniial. n cazul semnalului armonic generalizat
aceti trei parametri pot s fie variabili n timp.
n paragraful anterior s-a folosit noiunea de amplitudine variabil. n continuare
se consider ca variabile n timp frecvena i respectiv faz.
Se definete semnalul armonic generalizat prin:

x
P
(t) = A
P
(t) cos u(t) (7.19)

unde u(t) reprezint faza semnalului armonic la un moment dat i se numete faza
instantanee a acestuia.
Frecvena instantanee a semnalului armonic la un moment se definete ca viteza
de variaie a fazei n timp:
( )
( )
dt
t d
t
u
= O (7.20)

Din (7.20) rezult c, dac se cunoate frecvena instantanee, faza instantanee a
semnalului armonic generalizat se obine prin integrare:

( ) ( )
}
t t O = u
t
0
d t (7.21)

Semnalul armonic (7.1) se caracterizeaz prin aceea c faza instantanee este o
funcie liniar de timp u(t) = O
P
t + u
P
iar frecvena instantanee este, aa cum rezult
din (7.20), constant n timp O(t) = O
P
.
n cazul modulaiei de frecven se stabilete o dependen liniar ntre frecvena
instantanee a semnalului MF i semnalul modulator x(t) conform:

O(t) = O
P
+ K x(t) (7.22)

Folosind relaia (7.21) se determin faza instantanee a semnalului MF:

150
( ) ( )
0
t
0
p
d x K t t u + t t + O = u
}


i neglijnd constanta de integrare u
0
din (7.19) pentru A
P
(t) = A
P
rezult:

( ) ( )
(

t t + O =
}
t
0
p p MF
d x K t cos A t x (7.23)

Evaluarea funciei densitate spectral pentru semnalul MF dat de (7.23) este
dificil n cazul unui semnal modulator oarecare x(t).
Pentru simplificare se consider c semnalul modulator este armonic cosinusoidal
dat de (7.8), rezultate astfel obinute fiind concludente pentru proprietile modulaiei de
frecven.
n acest din urm caz din (7.22) se obine fecvena instantanee:

O(t) = O
P
+ Ka
0
cos e
0
t = O
P
+ AO cos e
0
t

reprezentat grafic n figura 7.6.



Fig. 7.6 Variaia frecvenei instantanee a semnalului MF cu semnal modulator
cosinusoidal

Mrimea dat de relaia:

AO = Ka
0
= max [O(t)] - O
P
(7.24)

se numete deviaia de frecven a semnalului MF i msoar deviaia maxim a
frecvenei instantanee fa de frecvena purttoarei.
Din relaia (7.23) cu notaia (7.24) se obine expresia semnalului MF pentru un
semnal modulator armonic:

( )
|
|
.
|

\
|
e
e
AO
+ O = t sin t cos A t x
0
0
p p MF
(7.25)
Folosind relaia:
0
0
0
Ka
e
=
e
AO
= | (7.26)

151
care definete indicele de modulaie de frecven, (7.25) devine:

x
MF
(t) = A
P
cos(O
P
t + | sin e
0
t) (7.27)

Relaia de mai sus se poate scrie sub forma:

x
MF
(t) = A
P
cos O
P
t cos (| sin e
0
t) - A
P
sin O
P
t sin (| sin e
0
t) (7.28)

Funciile cos(| sine
0
t) i sin(| sine
0
t) sunt funcii periodice de perioad 2t /e
0
,
par i respectiv impar.
Aceste funcii se pot descompune deci n serie Fourier trigonometric:

( ) ( ) ( )
( ) ( ) ( ) | |

=
+

=
e + | = e |
e | + | = e |
1 n
0 1 n 2 0
1 n
0 n 2 0 0
t 1 n 2 sin J 2 t sin sin
t n 2 cos J 2 J t sin cos
(7.29)

Coeficienii dezvoltrilor n serie Fourier din (7.29) reprezint dublul valorilor
funciilor Bessel J
n
(x) de spea nti. Funciile Bessel de spea nti i de ordin de la 0 la 4
sunt reprezentate grafic n figura 7.7.


Fig. 7.6 Funciile Bessel de spea nti pn la ordinul 4

nlocuind relaia (7.29) n (7.28) se obine:

( ) ( ) ( ) ( ) ( )


=
+

=
e | O | e O | + O | =
1 n
0 p 1 n 2 p
1 n
0 p n 2 p p 0 p MF
t sin sin t sin J A 2 t n 2 cos t cos J A 2 t cos J A t x

Dezvoltnd produsele trigonometrice rezult:
152
( ) ( ) ( ) ( ) ( ) | |
( ) ( ) | | ( ) | | { } t n t n J A
t n t n J A t J A t x
p p
n
n p
n
p p n p p p MF
0 0
1
1 2
1
0 0 2 0
1 2 cos 1 2 cos
2 cos 2 cos cos
e e |
e e | |
+ + O + O +
+ + O + O + O =

=
+

=


de unde prin unificarea celor dou sume se obine n final:

( ) ( ) ( ) ( ) ( ) ( ) | |

=
e O + e + O | + O | =
1 n
0 p
n
0 p n p p 0 p MF
t n cos 1 t n cos J A t cos J A t x (7.30)

Relaia (7.30) evideniaz faptul c spectrul de amplitudini al semnalului MF, n
cazul semnalului modulator armonic, conine purttoarea i dou benzi laterale cu un
numr infinit de componente simetrice fa de frecvena purttoare O
P
i plasate la
distana e
0
una fa de alta, spre deosebire de spectrul (7.13) al semnalului MA care,
pentru acelai semnal modulator armonic, conine doar purttoarea i dou componente
laterale.
Banda efectiv ocupat de semnalul MF se reduce la numrul N de perechi de
componente simetrice fa de O
P
care conin 99% din puterea acestuia. Se demonstreaz
c acest numr este dat de relaia aproximativ:

N ~ | + 1

i deci banda efectiv a semnalului MF este:

B
MF
~ 2(| + 1)e
0
(7.31)

Spectrul de amplitudini al semnalului MF corespunztor relaiei (7.30) este
reprezentat n figura 7.8.


Fig. 7.8 Spectrul semnalului MF cu modulator cosinusoidal
Se observ c purttoarea coninut n spectrul semnalului MF de amplitudine A
P
J
0
()
nu are ntotdeauna cea mai mare amplitudine ca n cazul semnalului MA, ba mai mult
153
pentru valorile lui | pentru care J
0
() intereseaz axa absciselor purttoarea lipsete din
spectru.
Pentru |<<1 sau aa cum rezult din (7.26) pentru AO<<e
0
(7.31) devine:

B
MF
~ 2e
0
(7.32)

Relaia (7.32) corespunde semnalului MF de band ngust caz n care (7.30) se
reduce la:

( ) ( ) ( ) ( ) ( ) ( )t cos J A t cos J A t cos J A t x
0 p 1 p 0 p 1 p p 0 p MF
e + O | + e O | O | = (7.33)

deoarece pentru |<<1 atunci cnd n >1 rezult J
n
() 0.
Rezult c semnalul MF de band ngust are spectrul de amplitudini i banda
efectiv asemntoare celor de la semnalul MA. n cazul semnalului modulator de band
larg (|>>1) innd cont de (7.26) relaia (7.31) devine:

B
MF
~ 2|e
0
= 2AO (7.34)

ceea ce arat c n acest caz banda efectiv a semnalului MF nu depinde de frecvena e
0

a semnalului modulator ci doar de deviaia de frecven AO = Ka
0
, deci n ultim instan
de amplitudinea semnalului modulator.
Pentru exemplificare se consider purttoare x
P
(t) = cos2tf
P
t cu f
P
= 20KHz
modulat de semnalul armonic x(t) = cos2tf
P
t astfel nct Af = 2KHz., pentru care se
determin si se reprezint grafic spectrul semnalului MF atunci cnd f
0
= 2Kfz si
respectiv f
0
= 4KFz
Indicele de modulaie de frecven este dat de relaia:

0 0
f
f A
=
e
AO
= |

si are valorile | = 1 respectiv | = 0,5.
Din tabele cu funcii Bessel rezult pentru cele dou cazuri:

a) | = 1: J
0
~ 0,8 J
1
~ 0,5 J
2
~ 0,15 J
n
~ 0 pentru n>2
b) | = 0,5: J
0
~ 0,98 J
1
~ 0,3 J
n
~ 0 pentru n>1

Spectrele de amplitudini corespunztoare cazurilor a) i respectiv b) sunt
reprezentate n figura 7.9.
Aa cum se poate observa din fig. 7.9, banda efectiv a semnalului MF este
aceiai B = 8 KHz dei frecvena semnalului modulator difer.
Egalitatea provine din faptul c pentru cazul a) banda efectiv se determin cu
formula general (7.31) iar pentru cazul b) banda efectiv se determin cu formula (7.32)
considernd |<<1.

154


Fig. 7.9 Spectrele semnalului MF pentru a) f
0
= 2Kfz si b) f
0
= 4KFz

n cazul modulaiei de faz se stabilete o dependen liniar ntre faza instantanee
a semnalului MP i semnalul modulator x(t) conform:

u(t) = O
P
t + u
P
+ K x(t) (7.35)

La fel ca n cazul MF n relaia de mai sus se consider, pentru simplificare c
faza iniial este nul u
P
=0 iar semnalul modulator este armonic dar de data aceasta
sinusoidal:
x(t) = a
0
sin e
0
t

Din relaia (6.35) se obine:

u(t) = O
P
t + A sin e
0
t (7.36)
n care:
A = Ka
0
(7.37)

reprezint deviaia de faz. nlocuind relaia (7.36) n (7.19) pentru cazul n care A
P
(t) =
A
P
rezult expresia semnalului MP:

x
MP
(t) = A
P
cos(O
P
t + A sin e
0
t) (7.38)
Comparnd relaiile (6.38) i (6.27) se constat c dac prin analogie cu MF se
noteaz:
o = A

unde o este numit indice de modulaie de faz cele dou relaii sunt similare i deci (7.38)
devine:
x
MP
(t) = A
P
cos (O
P
t + o sin e
0
t) (7.39)

Deosebirea este c n timp ce pentru MF, o = A este chiar deviaia de faz.
Rezult c toate consideraiile privind spectrul de amplitudini i banda efectiv
ale semnalului MF rmn valabile i pentru MP.
155
Astfel pentru spectrul de amplitudini al semnalului MP din (7.30) obinem:
( ) ( ) ( ) ( ) ( ) ( ) | |

=
e O + e + O o + O o =
1 n
0 p
n
0 p n p p 0 p MP
t n cos 1 t n cos J A t cos J A t x (7.40)

Pentru banda efectiv de frecven din (7.31) rezult:

B
MP
~ 2(o+1) e
0
(7.41)

n cazul MP de banda ngust, o<< 1 i (6.41) devine:

B
MP
~ 2 e
0

relaie similar cu (7.32) pentru MF de band ngust.
n cazul MP de band larg, o>>1 i (6.41) devine:

B
MP
~ 2 o e
0
= 2Ae
0
(7.42)

de unde rezult c spre deosebire de MF de band larg caracterizat de relaia (6.34)
banda efectiv a semnalului MP depinde de frecvena semnalului modulator.

7.4 Comparaie ntre metodele de modulaie cu purttor armonic
O prim comparaie ntre avantajele i dezavantajele pe care le prezint poate fi
fcut pentru cele trei tipuri de modulaie de amplitudine descrise n paragraful 7.2.
Modulaia de amplitudine cu purttor i dou benzi laterale MA (P+2 BL) permite
extragerea simpl prin detecie de anvelop a semnalului modulator de informaie, n
schimb banda de frecven ocupat de semnalul MA este relativ mare i anume dubl fa
de banda semnalului urmtor, ceea ce constituie un dezavantaj.
n plus eficiena transmisiei, considerat ca raport ntre puterea util a
componentelor semnalului modulator i puterea total a semnalului MA (P+ 2 BL), este
redus. ntr-adevr dac se analizeaz cazul semnalului modulator armonic din (7.13)
rezult:
2 m
m
A
4
A m
2
4
A m
2
P
P
2
2
2
p
2
p
2
2
p
2
t
u
+
=
+
= (7.43)

Acest raport este maxim atunci cnd indicele de modulaie m este unitar, caz n
care condiia (7.12) este ndeplinit la limit i:

3
1
P
P
max
t
u
=
(



Rezult c pentru MA (P+ 2 BL) eficiena transmisiei este cel mult 33%.
Modulaia cu purttor suprimat MA (2 BL) prezint avantajul unei eficiene 100% aa
156
cum rezult din (7.43) pentru un semnal modulator armonic, banda de frecven ocupat
fiind aceeai ca pentru semnalul MA (P+2BL). n plus prin suprimarea purttoarei se
reduce i puterea la emisie.
Principalul dezavantaj al acestui tip de MA l constituie complicarea extragerii
semnalului modulator din semnalul MA datorit necesitii de refacere la recepie a
purttoarei.
Modulaia de amplitudine cu band lateral unic MA (BLU) prezint ca principal
avantaj reducerea benzii de frecven la jumtate fa de semnalele MA (P+ 2 BL) i MA
(2 BL) i n afar de acestea i micorarea puterii la emisie fa de MA (2 BL) dar n
schimb reconstituirea semnalului modulator la recepie necesit la fel ca pentru MA(2BL)
generarea local a purttoarei.
Relaiile (7.34) i (7.42) redate mai jos:

B
MF
~ 2 | e
0
= 2 A O

B
MP
~ 2 o e
0
= 2 A e
0


permit o comparaie a semnalelor MF i respectiv MP de band larg. Astfel dac pentru
semnalul MF banda necesar la transmisie este aproximativ constant n raport cu
frecvena semnalului modulator, pentru semnalul MP banda necesar este direct
proporional cu frecvena semnalului modulator.
Astfel dac se consider un semnal modulator de band limitat [e
m
e
M
] pentru
MF benzile de frecven ocupate n urma modulrii de diversele componente ale
semnalului modulator sunt egale iar pentru MP acestea sunt proporionale cu frecvena
componentelor, astfel c de exemplu banda ocupat n urma modulrii n faz de
frecvena superioar e
M
este mai mare fa de cea ocupat de frecvena inferioar e
M
a
spectrului semnalului modulator.
Rezult c banda de frecven a canalului de transmisiuni care trebuie s fie
acoperitoare pentru banda semnalului MP nu este eficient utilizat de componentele de
joas frecven ale semnalului modulator.
Plecnd de la (7.22) i (7.35) i folosind relaiile (7.20) i (7.21) se obin
expresiile frecvenei i respectiv fazei instantanee pentru semnalele MF i MP:

( ) ( ) ( ) ( )
( )
( )
( ) ( ) t x K t t
dt
t dx
K t MP
d x K t t t x K t MF
p p
t
p p
+ O = u + O = O
+ O = u + O = O
}
O O

t t
:
:
0
(7.44)

Analiznd relaiile (7.44) se observ c:
- modulaia de frecven este o modulaie de faz pentru care faza instantanee
nu variaz proporional cu semnalul modulator x (t) ci cu integrala acestuia;
- modulaia de faz este o modulaie de frecven la care frecvena instantanee
variaz liniar cu derivata semnalului modulator i nu proporional cu acesta.
Rezult c integrnd semnalul modulator x(t) i modulnd n faz purttoarea se
obine semnalul modulat n frecven cu x(t), iar difereniind semnalul x(t) i modulnd n
frecven purttoarea se obine semnalul modulat n faz cu x(t).
157
Capacitatea C a unui canal de transmisiuni reprezint cantitatea de timp i se
exprim prin relaia:
|
|
.
|

\
|
+ =
2
s
2
P
P
1 log B C (7.45)

unde B este limea de band a canalului, P
s
este puterea medie a semnalului util iar P
z

puterea medie a zgomotului.
Relaia (7.45) permite o clasificare a diverselor metode de modulaie din punct de
vedere a posibilitii de protecie mpotriva perturbaiilor. Din (7.45) se observ c se
poate obine aceeai cantitate de informaie transmis fie folosind un semnal de band
ngust i realiznd un raport semnal/zgomot mare fie cu un semnal de band larg n
condiiile asigurrii unui raport semnal/zgomot mic.
Aa cum s-a artat n paragrafele anterioare pentru un semnal modulator dat
banda efectiv a semnalului modulat n cazul MP i MF este mai mare dect n cazul
MA. Acest dezavantaj este compensat prin mai buna protecie mpotriva perturbaiilor pe
care o ofer semnalele MF i MP fa de cele MA. n plus puterea la emisie este mai
redus pentru semnalele MF i MP n comparaie cu cea necesar semnalelor MA.
Aa cum s-a artat anterior n cazul MP frecvenele inferioare ale semnalului
modulator ocup prin modulaie benzi de frecven mai mici dect cele ocupate de
frecvenele superioare. Rezult c spre deosebire de MF,MP asigur o protecie mai slab
mpotriva perturbaiilor pentru componentele de joas frecven din spectrul semnalului
modulator.

7.5 Modulaia impulsurilor n amplitudine

Aa cum se poate observa din figura 7.10, modulaia n amplitudine a impulsurilor
(MIA) reprezint de fapt o eantionare a semnalului modulator x(t) cu purttoarea n
impulsuri x
P
(t).
Spre deosebire de eantionarea ideal, care folosete pentru eantionare funcia delta
periodic o
T
(t), n cazul MIA eantionarea se realizeaz cu o succesiune de impulsuri cu
durata t = 0 i perioada T, redat n figura 7.10b.
Semnalul MIA din figura 7.10c se caracterizeaz prin faptul c amplitudinea
impulsurilor urmrete variaia instantanee a semnalului modulator x(t) pe durata t a
acestora. Acest tip de MIA se numete natural (MIAN).
n practic la ieirea circuitelor numerice care realizeaz eantionarea semnalului
modulator x(t), impulsurile nu urmresc variaia instantanee a acestuia ci iau valoarea
x(kT) a lui n momentul sondrii pentru ntreaga durat t a impulsurilor purttoarei.
Acest tip de modulaie a impulsurilor n amplitudine se numete uniform (MIAU) i este
redat n figura 7.10d.
Aa cum se observ din figura 7.10c, MIA natural este o modulaie de si deci
expresia n timp a semnalului MIAN este:

x
MIAN
(t) = x(t) x
P
(t) (7.46)


158

Fig. 7.10 a) Semnalul modulator b) purttorul n impuls c) semnal cu modulaie n impuls
natural si d) ) semnal cu modulaie n impuls uniform


Funcia densitate spectral a semnalului MIAN se obine din (7.46) aplicnd
transformata Fourier si folosind teorema convoluiei n frecven:

( ) ( ) ( ) | | ( ) ( ) e e
t
= = e
p p MIAN
X X
2
1
t x t x X F (7.48)

Semnalul periodic n impulsuri x
P
(t) se poate dezvolta n serie Fourier
exponenial:
( )

=
O
|
.
|

\
| t O t
=
n
t jn
p
e
2
n
c sin
T
A t x (7.49)

unde O = 2t /T. Aplicnd transformata Fourier direct relaiei de mai sus se obine
funcia densitate spectral a purttoarei:

( ) ( )

=
O e o |
.
|

\
| t O t
t = e
n
p
n
2
n
c sin
T
A 2 X (7.50)

nlocuind relaia (7.50) n (7.48) i folosind proprietatea de filtrare a funciei o(e)
se obine funcia densitate spectral a semnalului MIAN:
159

( ) ( ) ( ) ( )

=
O |
.
|

\
| O
= O |
.
|

\
| O
=
n n
MIAN
n X
n
c
T
A n X
n
c
T
A X e
t t
e o e
t t
e
2
sin
2
sin
(7.51)

Relaia (7.51) arat c X
MIAN
(e) este o reprezentare periodic, cu perioada O = 2t
/T a spectrului semnalului modulator la fel ca n cazul eantionrii ideale. Deosebirea
const n faptul c eantionarea ideal are toate spectrele repetate la aceiai amplitudine
pe cnd n cazul MIAN, care corespunde unei eantionrii neideale, spectrale repetate
sunt ponderate fr a fi deformate cu constantele sinc(nOt/2) determinate de funcia sinus
cardinal.
n fig. 7.11 sunt prezentate modulele funciilor densitate spectral ale semnalului
modulator i semnalului MIAN. Asocierea ntre semnalul modulator x(t) i funcia sa de
densitate spectral din fig. 7.11 este convenional.
Rezult c extragerea semnalului modulator MIAN se poate face prin folosirea
unui filtru trece jos ideal avnd frecvena de tiere e
t
= e
M
considernd spectrul
semnalului modulator de suport mrginit, X (e) - 0 pentru e >e
M
. Dei conform
relaiei (6.51) spectrul semnalului MIAN este infinit banda efectiv B
MIAN
~ 2t/t este
limitat i depinde invers proporional de durata t a impulsurilor ce formeaz purttoarea.
Semnalul MIAU se obine prin convoluie ntre semnalul modulator eantionat
ideal x
e
(t) i impulsul dreptunghiular singular x
z
(t) cu durata t:

( ) ( ) ( ) t x t x t x
e MIAU t
= (7.52)
Funcia densitate spectral a semnalului MIAU se obine aplicnd transformata
Fourier direct relaiei (7.52) i folosind teorema convoluiei n timp:
( ) ( ) | | ( ) ( ) e e
t t
X X t x t x X
e e MIAU
= = F (7.53)



Fig. 7.11 a) Spectrul semnalului modulator si b) spectrul semnalului obinut prin
modulaia natural n amplitudine a purttoarei n impuls
160



Funcia densitate spectral a semnalului modulator eantionat ideal x
e
(t) este,
conform teoremei eantionrii, repetarea cu perioada O = 2t/T a funciei densitate
spectrale a lui x(t):
( ) ( )

=
O e = e
n
e
n X
T
1
X (7.54)

iar funcia densitate spectral a impulsului dreptunghiular singular x
t
(t) de amplitudine
unitate cu durata t este:

( )
|
.
|

\
| et
t = e
et

t
2
c sin e X
2
j
(7.55)

nlocuind relaiile (7.54) i (7.55) n (7.52) se obine funcia densitate spectral a
semnalului x
MIAU
(t):

( ) ( )

=
et

O e |
.
|

\
| et t
= e
n
2
j
MIAU
n X
2
c sin e
T
X (7.56)

Funcia densitate spectral a semnalului modulator eantionat ideal i modulul
funciilor densitate spectral a purttoarei i semnalului MIAU sunt reprezentate n fig
7.8b.
Din figura de mai sus se constat c spectrul semnalului x
MIAU
(t) se obine prin
repetarea spectrului semnalului modulator cu perioada O = 2t/T, spectrele repetate fiind
ponderate cu funcia de frecven sin c (et/2), ceea ce are ca efect distorsionarea
acestora.




Fig. 7.12 Spectrul semnalului obinut prin modulaia uniform n amplitudine a
purttoarei n impuls
161

Aceast distorsionare se numete efect de aparatur i este cu att mai mare cu ct
t este mai mare deoarece n acest caz funcia sin c(et/2), reprezentat punctat n figura
7.12 este mai abrupt).
Banda efectiv a semnalului MIAU este B
MIAU
~ 2t/t i deci este invers
proporional cu durata t a impulsurilor ce formeaz purttoarea.
Analiza spectral a semnalelor MID i MIP este relativ complicat i nu va fi
prezentat n acest curs. Se menioneaz doar c benzile efective ocupate de semnalele
MID i MIP sunt mult mai largi dect banda efectiv a semnalului MIA. Dezavantajul
mririi benzii de frecvene necesare pentru transmiterea semnalelor MIP i MID este
compensat de protecia mpotriva perturbaiilor mult mai bun dect n cazul MIA.





































162
ANEXA 1







163



R S Q
n+1
0 0 Q
n
0 1 1
1 0 0
1 1 X

X nedeterminare

Figura 4.8.




















164

























Bibliografie

1. D. Dasclu, L. Turic, I. Hofman, Circuite electronicce, Editura
didactic i Pedagogic, Bucureti, 1981;
2. N. Balabanian, T. Bickart, Teoria modern a circuitelor

También podría gustarte