Está en la página 1de 2

Para Instalar setup Diseo de Distemas Con Microprocesadores next UNAM IME ENEP Aragn completa next Rafael

Antonio Mrquez Ramrez nombre Abril/2k2 compaia serie 1051 Procedimiento para Instalar WARP y Probarlo next c:\warp con una aplicacin sencilla. next (esperar) (AUTOEXEC ser modificado) Aceptar (Informacin sobre Acrobat) Aceptar (Instalar Informacin Adicional) SI (Registro) NO (Ver README) SI (LEER README !!!!) (Cerrar README) (REINICIAR) OK Para Correr Ejecutar Galaxy 1a Vez: En New Project elegir subdirectorio y asignar nombre de proyecto OK En ventana Project Edit | New En ventana VHDL Editor Capturar programa ------------------------------------------------library ieee; use ieee.std_logic_1164.all; use work.std_arith.all; entity temp is port( clk: q: s: ); end temp;

in std_logic; inout std_logic_vector(7 downto 0); out std_logic

architecture func of temp is begin process (clk,q) begin if (clk'event and clk='1') then q<=q+1; end if; case q is when"00001000"=>s<='1'; when"00011000"=>s<='1'; when"00101000"=>s<='1'; when"00111000"=>s<='1'; when"01001000"=>s<='1'; when"01011000"=>s<='1'; when"01101000"=>s<='1'; when"01111000"=>s<='1'; when"10001000"=>s<='1'; when"10011000"=>s<='1'; when others =>s<='0'; end case; end process; end func; ------------------------------------------------En la Ventana de GALAXY Save as... nombre_de_archivo.vhd Guardar Selecccionar Ventana de PROJECT En la Ventana de GALAXY Men Files Add...

Seleccionar archivo.vhd presionar -> OK (En Project aparece archivo.vhd y se activan dems opciones) Seleccin del Dispositivo (En la Ventana de Project) Syntesis options Device... (En la Ventana Device: Package: Tech Mapping:

Device) C22V10 PALC22V10-25PC/PI Choose FF Types D/optimal Node Cost 10 (Lo dems queda como est)

OK Set top (PRESIONAR !!!) Iniciar Compilacin (En la Ventana Project) Compile Selected (Aparece Ventana Compiling VHDL) (Si aparecen errores presionar botn LOCATE ERROR en Ventana Compiling VHDL) (Corregir y Compilar hasta que no tenga Errores y aparezca la lnea:) Device fitting (pla2jed) Cerrar Galaxy

------------------------------------------------------------Revisar archivo.jed archivo.rpt Identificar informacin en estos archivos ------------------------------------------------------------Simulacin Ejecutar Nova (Hacer click en ventana de presentacin para que desaparezca) (En Ventana Nova) File Open... (Seleccionar archivo.jed) Elegir seal clk (La seal se pone AZUL) Edit Clock Clock Clock Clock Start OK Options Simulation Lenght: OK 1024 Period: Delay: High Time: Low 2 0 1

Simulate Execute (Analizar seales de simulacin) (Use Views Zoom In Zoom Out para amplificar la visualizacin)

También podría gustarte